FPGA——上电自复位方式(非常简单)

       上电自复位对FPGA的稳定性及其重要,因为对于某些设计,上电之后需要进行一些状态寄存器的初始化,或者系统自己初始化都需要一个复位信号。在这里我就介绍一个比较好用的方法,大家一起学习一下。


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.numeric_std.all;
library WORK;
library UNISIM;
use UNISIM.VComponents.all;


entity    top is
port(
clk                :  in std_logic;
rst                :  out std_logic
);
end top;
architecture Behavioral of top is


signal         rst_vector        :    std_logic_vector(15 downto 0):=(other=>'1');


rst_after_power_on: process(clk)
begin
            rst_vector <= '0' & rst_vector(15 downto 1);
end procrss rst_after_power_on;


rst <= rst_vector(0);


end Behavioral;

这里只是简单的做了一个上电复位

  • 2
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 8
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值