FPGA 设计 Verilog 基础(二)

1.1 状态机设计

状态机是许多数字系统的核心部件,是一类重要的时序逻辑电路。通常包括三个部分:一是下一个状态的逻辑电路,二是存储状态机当前状态的时序逻辑电路,三是输出组合逻辑电路。通常,状态机的状态数量有限,成为有限状态机(FSM)。由于状态机的所有触发器的时钟由同一脉冲边沿触发,故也称之位有限状态机。

根据状态机的输出信号是否与电路的输入有关分为Mealy型状态机和Moore型状态机。电路的输出信号不仅与电路的当前状态有关,还与电路的输入有关,称为Mealy型状态机。相反,电路的输出仅仅与各触发器的状态有关,不受电路输入信号的影响,称为Moore型状态机。其标准模型如下所示:

状态机的状态转移图,通常可以根据输入和内部条件画出。一般来说,状态机的设计包括以下设计步骤:

  • 根据需求和设计原则,确定是Mealy型还是Moore型状态机。
  • 分析状态机的所有状态,对每一状态选择合适的编码方式,进行编码。
  • 根据状态转移关系和输出绘出状态转移图。
  • 构建何使的状态机结构,对状态机进行硬件描述。

状态机的描述通常由三种描述方法,称为一段式状态,二段式状态机和三段式状态机。状态机的描述通常包括以下四个部

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值