Verdi命令行调用选项用法

命令行调用Verdi平台

  1. 如果没有指定manage.rc资源文件,则库设置从novas.rc资源文件里面load;
  2. 支持load verilog的压缩文件gzipped(*.gz);
  3. 如果编译的门级设计因为ECO修改使用了nECO模块,则不能使用-ssy,-ssz和-ssv选项。
    命令行使用方法:
verdi [General Options] [nTrace Options] [Simulator Options] 
      [Siloti Options] [Environment Options] [Behavior Analysis Options]
      [Power Manager Options]

General/nTrace Options

选项描述
-2001/+v2k支持Verilog IEEE 1364-2001命名风格生成块(覆盖语言选项)。不应用IEEE 1364-2005 sec12.4.3 unnamed genblk naming。这个选项只和-sv或-2005使用,它只应用于VCS用户。
-2001genblk使用Verilog IEEE 1364-2001标准
-2005支持Verilog IEEE 1364-2005标准。默认应用IEEE 1364-2005 sec12.4.3 unnamed genblk naming
-2009支持SystemVerilog IEEE 1800-2009标准
-2012支持SystemVerilog IEEE 1800-2012标准
-h/-hep打印帮助信息
-nogui以批量模式运行。要求有效的DISPLAY环境变量。如果没有更多的tcl命令以这种模式执行,Verdi平台自动在三十秒内退出。
-nologo抑制启动时的欢迎界面,默认到硬件调试界面。欢迎界面通过Help->Welcome命令显示。
-ssc licenseFile指定license文件的名字
-ssf fastFile(s)/dumpFile(s)/fastFile list(s)加载FSDB(.fsdb),virtual FSDB(.vf), gzipped FSDB(.fsdb.gz), bzip2 FSDB(.fsdb.bz2), waveform dump(.vcd,.vcd.gz) files 或FSDB file lists(*.flst)。对单一的fsdb文件,一次可以指定1-16个文件。例子:verdi -f run.f -ssf file1.fsdb file2.fsdb…file16.fsdb或verdi -nWave -ssf demo1.fsdb demo2.fsdb demo3.fsdb。对于一个文件清单,必须在这个文件中列出每一个具有绝对路径或相对路径的FSDB或virtual文件在文件中单独的一行。文件数量没有限制。#和;被用来做注释,但是必须将他们放在单独的一行。当使用-ssf指定一个FSDB文件,并且仿真器的类型使在FSDB中指定,仿真器类型是自动设定。
-ssr sessionFile加载session文件(*.ses)
-ssv不推荐自动标识在库文件(-v)的库模块作为库cell。注意:在import期间,在Verdi命令行的-ssv规范重写了在每个用vericom预编译的设计库中-v的规范。
-ssy不推荐自动标识在库目录(-y)的库模块作为库cell。注意:在import期间,在Verdi命令行的-ssy规范重写了在每个用vericom预编译的设计库中-y的规范。
-ssz忽略’celldefine编译指令。注意:在import期间,在Verdi命令行的-ssz规范忽略在每个用vericom预编译的设计库中’celldefine编译指令。
-sswr加载波形恢复文件(*.rc)
-sv支持SystemVerilog IEEE 1800-2005标准。默认应用IEEE 1800-2005 sec12.4.3 unnamed genblk naming。
-top topModule| “top1 top2…topN”指定导入设计的top模块。注意:Verilog模块是大小写敏感。top模块的名字可以使用Cadence NC仿真器命令格式指定来详述指定的设计单元,例如ncelab[library.]cell[:view]
-v95支持Verilog IEEE 1364-1995标准
-vc支持DirectC语法
-vcs simulator指定VCS仿真可执行,启动交互模式

Verilog/SystemVerilog语法和语义分析

  1. 如果指定了以下一个或多个选项,整个设计的语法和语义分析用最新的语言类型解决同时具有-sv,-2001,+v2k,-95的这些选项。
    例如:vericom -v95 +v2k -sv a.v
    a.v文件用SystemVerilog语言进行分析。
  2. 如果没有一个选项在第一步中指定,则整个设计的语法语义分析用Verilog 2001。
    Verilog/SystemVerilog语言关键词设置检查
  3. 如果+verilog1995ext,+verilog2001ext, +systemverilogext选项任意指定一个,通过+{language}ext检查{language}中的关键词集合。
    2.如果以上选项都没有指定,但是在命令行中指定了-sv,-2005,-2001,+v2k,-v95任意一个,则用给定的语言选项集检查整个设计。
    3.如果1和2的选项都没有指定,则用Verilog-2001集检查整个设计。

Environment Options
Simulator Options
Verdi平台接受所有的仿真器选项。关于编译设计的选项会被Verdi使用,其他的选项被忽略。查看完整列表和详细信息,参考仿真器文件。以下仿真器命令行选项也被Verdi平台共同使用。

选项描述
+define+<macro>+define选项被用来指定宏。如果宏也被定义在源代码中,它被这个选项覆盖。
+incdir+<directoryname>指定通过include语句声明的文件的搜索路径
+libext+<extensionname>对Verilog库文件指定文件扩展名,也可以参看-y选项
-f <filename>.f加载一个包含设计源文件和仿真器选项的ASCII文件
-ntb_opts uvm[-<version>]对编译加载UVM库。+define+VCS选项被自动添加在Verdi命令行上。
-uvm[-<version>]加载默认的Verdi UVM库。如果-uvm和-uvmhome同时指定,-uvm选项将被忽略。
-uvmhome <path>指定UVM安装目录。+define+INCA选项被自动添加在Verdi命令行。在以下例子中,例子1等同于例子2。例1:verdi -sv -uvmhome \$MyUVM test.sv。例2:verdi -sv +define_INCA +\$MyUVM/src \$MyUVM/src/uvm.sv test.sv
-v <filename>在指定文件中模块被当做库cell。如果制定了-ssv选项,这个选项被覆盖。
-y <directoryname>在指定目录中的模块被当做库cell。如果指定了-ssy选项,这个选项被覆盖。在这个目录中,库文件的名字假定和模块的名字一样。+libext选项被用来检查库文件扩展名,从而确保库文件匹配。

-ssf选项例子:

-top选项例子:

-ntb_opts选项


-y选项:


-uvm[-]选项:

例:verdi的用法

verdi -define UVM_REPORT_DISABLE_FILE_LINE \  //从log里面提取-define/-defineall/+define+/UVM_TESTNAME,和包含参数的文件
+UVM_TR_RECORD +UVM_VERDI_TRACE=HIER +UVM_VERDI_TRACE=RAL -ntb_opts uvm -sv -2012 -ssy -ssz \
-top top_tb \ 从log文件提取-top
-f file_list \  //设计的文件列表*.f,从log里面提取Create.*fsdb
-ssf wave_file  //波形名字*.fsdb或*.vf
  • 2
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值