Verilog 每日一题 (VL11 状态机-非重叠的序列检测)

题目描述

设计一个状态机,用来检测序列 10111,要求:

1、进行非重叠检测   即101110111 只会被检测通过一次

2、寄存器输出且同步输出结果

注意rst为低电平复位



        这一题比较简单,就是一个普通的Moore状态机,从波形图来看,在接收了10111之后,指示信号flag置高电平,随后开始接收判断1,而不是接收0;稍微需要留意的是,由于选择的是非阻塞赋值,所以再进行flag输出判断时,判断条件应该为nstate == F(接收最后一个1后跳变的状态,详见代码) 而不是state == F,以免慢一个clk。

具体详解如下,题目简单就不画状态图了

`timescale 1ns/1ns

module sequence_test1(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);
//*************code***********//
    parameter A=0,B=1,C=2,D=3,E=4,F=5;
    reg [2:0] state,nstate;
    always @(*) begin
        if(~rst)
            nstate <= A;
        else
            case(state)
                A: nstate <= (data)? B:A; //初始态,接收1 
                B: nstate <= (data)? B:C; //接收0,若为1,保持B;
                C: nstate <= (data)? D:A; //接收1,若为0,返回A 
                D: nstate <= (data)? E:A; //接收1,若为0,返回A 
                E: nstate <= (data)? F:A;  //接收1,若为0,返回A 
                F: nstate <= A;
            endcase    
    end
    
    always @(posedge clk or negedge rst) begin
        if(~rst)
            state <= A;
        else
            state <= nstate;
    end
    
    always @(posedge clk or negedge rst) begin
        if(~rst)
            flag <= 0;
        else
            flag <= (nstate==F)? 1:0 ;
    end
    
//*************code***********//
endmodule


done!

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值