Quartus使用Testbench方法(自动生成模板后的操作)

Quartus ii版本是13.01,原工程文件名为ex,Quartus要求最顶层.v文件名要与工程名相同,因此顶层.v文件名为ex.v

==== Step1 ====

Processing -> Start -> Start Testbench Template Writer生成针对工程的Testbench模板文件。

使用File -> Open打开在工程的simulation目录下的Testbench文件ex.vt,其内容如下:

`timescale 1 ps/ 1 ps
module ex_vlg_tst();
// constants
// general purpose registers
reg eachvec;
// test vector input registers
reg a;
reg b;
// wires
wire equal;

// assign statements (if any)
ex i1 (
// port map - connection between master ports and signals/registers
.a(a),
.b(b),
.equal(equal)
);
initial
begin
// code that executes only once
// insert code here --> begin

// --> end
$display(“Running testbench”);
end
always
// optional sensitivity list
// @(event1 or event2 or … eventn)
begin
// code executes for every event on sensitivity list
// insert code here --> begin

@eachvec;
// --> end
end
endmodule

修改.vt文件满足自己需求。

==== Step2 ====

执行Assigments -> Settings -> EDA Tool Settings -> Simulation设置仿真参数
在这里插入图片描述

在这里插入图片描述

一路OK之后,执行Tools -> Run Simulation Tools -> RTL simulation则弹出Modelsim的仿真界面,自此就可以进行仿真分析了

一定要注意testbench的名字问题,不然很容易在Quartus中编译通过而在modelsim中编译不通过。

  • 9
    点赞
  • 47
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值