Nexys4DDR的DDR2读写操作例程详解

本文介绍了如何在Vivado环境下,使用Verilog语言实现Nexys 4 DDR FPGA板上的DDR2 SDRAM的读写操作。通过四个开关进行配置,其中开关3为复位,开关2选择地址,开关1和0选择数据。程序经过了上板验证,读写数据可由数码管显示。DDR2的学习仍在进行中。
摘要由CSDN通过智能技术生成

本文在vivado下进行,已经上板验证,使用verilog语言。

//*****************************************************************************
// Author            : qq778132974
// Application        : MIG v2.4
// Filename            : example_top.v
// Date Created        : 2021.01.04
//
// Device            : 7 Series (Nexys 4 DDR)
// Design Name        : DDR2 SDRAM
// Purpose            : A demo of DDR2's read and write
//*****************************************************************************

`timescale 1ps/1ps

module example_top (
    // system signals
    input                    sys_rst,
    input                    sys_clk_i,
    // application signals
    input  [15:0]      

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值