verilog设计音乐自动播放器

题目要求:自动播放设计好的乐曲;至少能播放两个以上的乐曲;含代码文件和测试结果。
包括代码、工程和课程设计报告。

本工程在QuartusII下

下面是工程代码截图:
在这里插入图片描述
报告截图:
在这里插入图片描述
代码如下:
module cnt_34(clk,rst,q,co); //播放第三首歌
input clk,rst;
output [7:0] q;
output co;

reg[7:0]q;
reg co;

always@(posedge clk or posedge rst)
begin
if(rst)
q<='d160;
else
begin
if(q==199)
begin
q<='d160;
co<=1’b1;
end
else
begin
q<=q+1’b1;
co<=1’b0;
end
end
end
endmodule

module cnt_57(clk,rst,q,co); //播放第一首歌
input clk,rst;
output [7:0] q;
output co;

reg[7:0]q;

  • 2
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值