[Verilog学习笔记]①基础知识

本文详细介绍了Verilog HDL的基础知识,包括语言要素如空白符、注释符、标识符、转义标识符和关键字,数值表示,数据类型如物理数据类型(连线型、寄存器型)和抽象数据类型,以及运算符和表达式的使用。此外,还阐述了模块的基本概念和端口定义。
摘要由CSDN通过智能技术生成

一、Verilog HDL语言要素

1.空白符:

空白符包括空格符(\b)、制表符(\t)、换行符和换页符。在编译和综合时,空白符被忽略。

2.注释符

单行注释: //
多行注释: /*开始 */结束 (Verilog HDL由C语言发展而来)

3.标识符

标识符被用来命名信号名、模块名、参数名,可以是任意一组字母、数字、$符号和_的组合。 需要注意的是,字母区分大小写,且第一个字符必须是字母或者下划线。

4.转义标识符

转移标识符以""开头,以空白结束

5.关键字

所有关键字都是小写的。

5.数值

四种基本逻辑数值状态

状态 含义
0 低电平、逻辑0,或“假”
1 高电平、逻辑1或“真”
x或X 不确定或位置的逻辑状态
z或Z 高阻态

整数及其表示:

数制 基数符号 合法表示符
二进制 b或B 0、1、x、z、?、_
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值