vivado clocking wizard设置0.036MHz时钟输出

在这里插入图片描述
先勾选7个时钟。
在这里插入图片描述
按照图中设置参数。
原理:Fout=Fvoc/Divide,VCO频率最低600MHz,因为CLKOUT4_CASCADE勾选,所以clk_out5和clk_out7的除数级联,因此clk_out5输出频率最低为600MHz/128/128=0.036MHz。

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado中使用Clocking Wizard配置输入差分时钟可以按照以下步骤进行: 1. 打开Vivado工程并进入Block Design界面。 2. 在Design Sources面板中,右键单击并选择"Add IP"。在弹出的对话框中,搜索并选择"Clocking Wizard" IP。 3. 在"Add IP"对话框的下一步中,选择"Create a new AXI4 peripheral"并点击"Next"。 4. 在接下来的对话框中,您可以选择输入差分时钟的频率、时钟源和其他参数。根据您的需求进行配置,并点击"Next"。 5. 在下一个对话框中,您可以选择是否要生成输出文件(例如时钟约束文件)并设置输出文件的位置。根据需要进行配置,并点击"Next"。 6. 在最后的对话框中,您可以为Clocking Wizard IP设置一个名称,并选择是否将其自动连接到处理系统(PS)的时钟接口。根据需要进行配置,并点击"Finish"。 7. 在Block Diagram中,将Clocking Wizard IP拖动到适当的位置。 8. 连接输入差分时钟信号到Clocking Wizard IP的输入端口。可以使用连线工具连接差分时钟信号到相应的输入引脚。 9. 连接Clocking Wizard IP的输出时钟到其他逻辑模块中。 10. 在设计完成后,运行综合和实现过程以生成比特流文件。 11. 如果需要,您可以在生成的比特流文件中添加时钟约束以确保正确的时序分析和布局布线。 请注意,以上步骤提供了一个大致的框架,实际配置过程可能会因具体的设计需求和情况而有所不同。建议您参考Vivado工具的用户指南和相关文档,以获取更详细的指导和支持。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值