Vivado clocking wizard IP核PLL/MCMM配置

       PLL的英文全称是Phase Locked Loop,即锁相环,是一种反馈控制电路。PLL对时钟网络进行系统级的时钟管理和偏移控制,具有时钟倍频、分频、相位偏移和可编程占空比的功能。Xilinx 7系列器件中的时钟资源包含了时钟管理单元CMT,每个CMT由一个MMCM和一个PLL组成。对于一个简单的设计来说,FPGA整个系统使用一个时钟或者通过编写代码的方式对时钟进行分频是可以完成的,但是对于稍微复杂一点的系统来说,系统中往往需要使用多个时钟和时钟相位的偏移,且通过编写代码输出的时钟无法实现时钟的倍频,因此学习Xilinx MMCM/PLL IP核的使用方法是我们学习FPGA的一个重要内容。

11.1MMCM/PLL IP核简介
锁相环作为一种反馈控制电路,其特点是利用外部输入的参考信号控制环路内部震荡信号的频率和相位。因为锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。
Xilinx 7系列器件中具有时钟管理单元CMT时钟资源,xc7z020芯片内部有4个CMT,xc7z010芯片内部有2个CMT,为设备提供强大的系统时钟管理以及高速I/O通信的能力。时钟管理单元CMT的总体框图如下图所示。

图 11.1.1 CMT总体框图

    MMCM/PLL的参考时钟输入可以是IBUFG(CC)即具有时钟能力的IO输入、区域时钟BUFR、全局时钟BUFG、GT收发器输出时钟、行时钟BUFH以及本地布线(不推荐使用本地布线来驱动时钟资源)。在最多的情况下,MMCM/PLL的参考时钟输入都是来自IBUFG(CC)即具有时钟能力的IO输入,本实验也是如此。MMCM/PLL的输出可以驱动全局时钟BUFG和行时钟BUFH等等。BUFG能够驱动整个器件内部的PL侧通用逻辑的所有时序单元的时钟端口。BUFG/BUFH/CMT在一个时钟区域内的连接框图如下图所示。

图 11.1.2 BUFG/BUFH/CMT在一个时钟区域内的连接

有关Xilinx时钟资源和CMT的更详细信息,读者后期可以花一些时间和精力去学习一下Xilinx官方的手册文档“UG472,7 Series FPGAs Clocking Resources User Guide”里的介绍。
MMCM和PLL的总体框图如下图所示。

图 11.1.3 MMCM总体框图

图 11.1.4 PLL总体框图

其中MMCM的功能是PLL的超集,其具有比PLL更强大的相移功能。MMCM主要用于驱动器件逻辑(CLB、DSP、RAM等)的时钟。PLL主要用于为内存接口生成所需的时钟信号,但也具有与器件逻辑的连接,因此如果需要额外的功能,它们可以用作额外的时钟资源。
PLL由以下几部分组成:前置分频计数器(D计数器)、相位-频率检测器(PFD,Phase-Frequency Detector)电路,电荷泵(Charge Pump)、环路滤波器(Loop Filter)、压控振荡器(VCO,Voltage Controlled Oscillator)、反馈乘法器计数器(M计数器)和后置分频计数器(O1-O6计数器)。
在工作时,PFD检测其参考频率(FREF)和反馈信号(Feedback)之间的相位差和频率差,控制电荷泵和环路滤波器将相位差转换为控制电压;VCO根据不同的控制电压产生不同的震荡频率,从而影响Feedback信号的相位和频率。在FREF和Feedback信号具有相同的相位和频率之后,就认为PLL处于锁相的状态。
在反馈路径中插入M计数器会使VCO的震荡频率是FREF信号频率的M倍,FREF信号等于输入时钟(FIN)除以预缩放计数器(D)。参考频率用以下方程描述:FREF= FIN/D,VCO输出频率为FVCO= FIN*M/D,PLL的输出频率为FOUT=(FIN*M)/(N*O)。
Xilinx提供了用于实现时钟功能的IP核Clocking Wizard,该IP核能够根据用户的时钟需求自动配置器件内部的CMT及时钟资源,以实现用户的时钟需求。在这里我们主要讲解的是如何使用该IP核,有关该IP核的更详细介绍,读者可以参阅Xilinx官方的手册文档“PG065,Clocking Wizard v6.0 LogiCORE IP Product Guide”。

11.2实验任务
本节实验任务是使用Zynq开发板输出4个不同时钟频率或相位的时钟,并在Vivado中进行仿真以验证结果,最后生成比特流文件并将下载到开发板上,使用示波器来测量时钟的频率。

打开“IP Catalog”窗口后,在搜索栏中输入“clock”关键字,可以看到Vivado已经自动查找出了与关键字匹配的IP核名称,如下图所示。

接下来就是配置IP核的时钟参数。最上面的“Component Name”一栏设置该IP元件的名称,这里保持默认即可。在第一个“Clocking Options”选项卡中,“Primitive”选项用于选择是使用MMCM还是PLL来输出不同的时钟,对于我们的本次实验来说,MMCM和PLL都可以完成,这里我们可以保持默认选择MMCM。需要修改的是最下面的“Input Clock Information”一栏,把“Primary”时钟的输入频率修改为我们开发板的核心板上的晶振频率50MHz,其他的设置保持默认即可,如下图所示。

接下来切换至“Output Clocks”选项卡,在“Output Clock”选项卡中,勾选前4个时钟,并且将其“Output Freq(MHz)”分别设置为100、100、50、25,注意,第2个100MHz时钟的相移“Phase(degrees)”一栏要设置为180。其他设置保持默认即可,如下图所示。

Port Renaming”选项卡主要是对一些控制信号的重命名。这里我们只用到了锁定指示locked信号,其名称保持默认即可,如下图所示。

“MMCM Setting”选项卡展示了对整个MMCM/PLL的最终配置参数,这些参数都是根据之前用户输入的时钟需求由Vivado来自动配置,Vivado已经对参数进行了最优的配置,在绝大多数情况下都不需要用户对它们进行更改,也不建议更改,所以这一步保持默认即可,如下图所示。

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Vivado中的Clocking Wizard IP核是一个用于生成时钟和时序控制电路的IP核。它可以帮助设计人员快速生成复杂的时钟和时序控制电路,从而简化设计流程并提高设计效率。该IP核支持多种时钟类型和时序控制方案,并且可以根据用户的需求进行定制化配置。在Vivado中使用Clocking Wizard IP核可以大大简化时钟和时序控制电路的设计,提高设计效率和可靠性。 ### 回答2: vivado中的clocking wizard IP核是一种用于生成系统时钟的IP核。在现代数字系统设计中,时钟是一个非常重要的因素,因为它是整个系统同步的心脏。因此,生成正确的时钟信号是非常重要的,是系统成功运行的必要条件之一。 clocking wizard IP核可以帮助设计者方便地生成各种复杂的时钟方案。只需要在vivado工具中使用图形化界面,设置所需的时钟输出频率和时钟延迟等参数,就能够生成相应的时钟电路,包括时钟源、分频器、平移器、时钟缓冲器等。 该IP核的优点是可以为系统提供灵活的时钟配置,支持多个时钟域的设计和自定义延迟,可以帮助设计者兼顾芯片性能和功耗优化。同时,该IP核还提供了多种时钟输出格式,例如差分时钟、单端时钟等,可以适配不同的系统架构。 值得注意的是,在使用clocking wizard IP核时,需要对时钟的延迟和相位进行仔细的调整和验证。因为时钟误差会直接影响到系统的性能和可靠性,需要进行充分的仿真和检测,确保时钟信号满足系统的要求。 总之,clocking wizard IP核vivado设计工具中非常实用的一个IP核,它可以帮助设计者快速生成符合要求的时钟电路,以保证系统的稳定性和可靠性。同时,它也是数字系统设计中非常重要的一个组成部分,需要设计者对其进行深入的理解和掌握。 ### 回答3: Vivado中的Clocking Wizard是一种可定制化的IP核,旨在帮助用户生成符合其设计需求的时钟。时钟系统是数字设计中最重要的部分之一,它对电路的正确性和性能至关重要。Clocking Wizard可以生成复杂的时钟分配和时钟控制电路,以满足高性能半导体芯片的需求。 Clocking Wizard被设计成可定制化的IP核,并且拥有丰富的功能和选项,以满足各种不同的时钟管理需求。用户可以通过Clocking Wizard生成多路时钟,并可以控制时钟的延迟,相位和频率等特性。Clocking Wizard还可以包含PLL电路,从而提供更高的时钟分辨率和频率范围。此外,Clocking Wizard还支持多种不同的时钟协议和接口标准,如PCI Express,Gigabit Ethernet和DDR接口标准等。 Clocking Wizard的使用方式相对简单,用户只需在Vivado中导入该IP核,然后根据自己的需求进行配置即可。用户可以通过GUI接口或者通过Tcl脚本来配置和管理Clocking Wizard。在配置完成后,用户可以将Clocking Wizard集成到他们的Vivado设计中,从而生成完整的时钟管理电路。 总之,Clocking Wizard是一种功能丰富且易于使用的IP核,在数字设计中扮演着重要的角色。它提供了可定制化的时钟分配和时钟控制方案,并支持多种不同的时钟协议和接口标准。对于需要更高性能且复杂的时钟分配和时钟控制方案的设计,Clocking Wizard是一个值得考虑的选择。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值