复位那些事儿

复位那些事儿

欢迎关注公众号【TechDiary】,专注原创技术分享

当我们进行IC/FPGA设计时,不可避免地会遇到复位信号的设计。复位信号是一个数字系统中基础又重要的设计,设计失误轻则bug频出,重则系统宕机。本文将从以下几个方面讨论复位设计相关的内容:

  • 同步复位介绍
  • 异步复位介绍
  • 异步触发同步释放复位及Verilog设计
同步复位介绍

顾名思义,“同步”即与时钟同步,也就是说,复位信号与时钟有特殊的相位关系。这样的复位信号将建立在满足系统的建立时间保持时间的基础上,我们不需要担心它会引起电路中的亚稳态。一个典型的同步低有效复位可以用下面的图表示:
在这里插入图片描述
其中,信号有如下的对应关系:

  • clock:同步时钟

  • rst_syn_n:同步复位信号

  • rst_valid_n:实际复位有效信号

先说结果,从图中可以看到,触发器对复位信号采样后,采样出了一段复位有效信号(reset valid),同步复位成功。但是细心的朋友可能会发现rst_syn_n与rst_valid_n的跳变时刻与时钟上升沿并不对齐,这也是同步复位最为优势的

  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值