UVM基础知识3:Systemverilog 验证 12.2.2实例

本文介绍了如何在Systemverilog验证中使用DPI调用C代码,通过一个counter7.c的实例,详细阐述了创建C文件、Systemverilog测试平台(test.sv)的编写以及利用makefile进行仿真的过程。
摘要由CSDN通过智能技术生成

来源:systemverilog验证 测试平台编写指南(书籍)

1 新建counter7.c文件

    vi counter7.c

#include<svdpi.h>
#include<malloc.h>
#include<veriuser.h>


typedef struct {
  unsigned char cnt;
} c7;


void* counter7_new() {
  c7* c = (c7*) malloc(sizeof(c7));
  c-> cnt = 0;
  return c;
}


void counter7(
            c7            *inst,
            svBitVecVal   *count,
    const   svBitVecVal   *i,
    const   svBit         reset,
    const   svBit         load){

  if (reset)      inst -> cnt = 0;
  else if (load)  inst -> cnt = *i;
  else            inst -> cnt++;
  inst -> cnt = inst -> cnt & 0x7f;
  *count = inst -> cnt;

  io_printf(&#
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值