利用条件语句实现不同占空比的分频时钟电路

本文详细介绍了如何使用Verilog实现一个具有不同占空比的分频时钟电路。在建模和测试过程中,作者遇到了初始化、计数器设定以及同步与异步复位的问题,并逐一给出了解决方案。关键在于理解reset信号在always块中的作用以及计数器的正确设置,以确保占空比的准确性。
摘要由CSDN通过智能技术生成

1,要实现的是如下的一个“产生占空比不同的分频时钟”:


(1)、建模:

module fdivision_module(clock,d_clock,reset,j);
  input clock,reset;
  output d_clock,j;
  
  reg d_clock;
  reg [2:0]j;
  
  always @(posedge clock)
  begin
    if(!reset)

//初始化过程
      begin
        d_clock<=0;
        j<=0;
      end
    else
      begin
      if(j==4)
        j<=0;
      else if(j==1)
        begin
          d_clock=1;
          j<=j+1;
        end
      else
        begin
          d_clock=0;
          j<=j+1;
       

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值