IP被锁定的原因以及处理方法[IP definition not found]

在这里插入图片描述
遇到这个问题,一般只要到Tools -> Report IP -> Report IP Status,然后在settings里设置IP的位置,然后update即可,然而发现这样没有用。
后来发现是因为删除了IP目录下的数据文件,本来这些数据文件是在工程中被 disable 的。
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
后来发现IP文件中的component.xml 丢失,最后复制了一下ip的内容,删除ip核后,重新添加ip核。
在这里插入图片描述

IP显示为灰色的原因有3个:

1.该IP被重复添加。
2.如果IP核是HLS生成的,器件需要与VIVADO工程一致。
3.打包好的IP器件型号和所添加到工程的器件不匹配。

IP被锁定可能原因:

1、IP文件是只读的
举个例子,如果工程是Vivado 2020.1版本创建的,之后又用Vivado 2019.1打开。打开之后,整个工程会处于只读状态,这也包括其中的IP,因此,IP就会被锁定。

2、IP版本发生变化

IP版本发生变化,可能是重大变化(Major Change),也可能是微小变化,这可由IP Status报告中的Current Version和Recommended Version判断。例如:版本号13.2(Rev.4)和版本号13.2(Rev.5)属于微小变化,版本号6.0和版本号6.1就属于重大变化。一旦有这些变化,IP就可能被锁定。

3、IP没有独立的文件目录

如果使用的是xci形式的IP,那么每个IP都需要有自己独立的文件目录。工程实践中,可能会出现把多个IP复制给另一个工程使用,有时工程师为了图省事,只复制了.xci文件,将其统一放置在同一个文件目录下,那么就会导致IP被锁定。

4、芯片型号更改

如果某个IP在定制时用的芯片型号为A,而又把该IP给另一工程使用,如果该工程的芯片型号为B,那么这个IP就会被锁定。类似的情形也包括开发板发生更改(选择芯片型号时选择的是开发板)。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值