System Verilog中延时参数传递的时钟周期控制

System Verilog中延时参数传递的时钟周期控制


task参数传递

参数传递的过程

在system Verilog的task对参数处理过程:参数传递最好是标注方向,在子程序的开头把input 和inout的值复制给本地变量,子程序退出时,复制output和inout的值。
本程序中将时钟周期period设置为input型。在forever里面将period除2计算出半个周期的时间。

`timescale 1ns/1ps
// clock generation
task clk_gen(input int period = 10);
  clk <= 0;
  forever begin
    #(period/2) clk<= !clk;
  end 
endtask

initial begin
  // generate clk
  clk_gen(20);//period 20ns
end

如果对你有帮助那就关注点个赞。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值