测试多时钟频率-systemVerilog

//cr_tb.sv文件内容

`include "cr.vh"

//宏定义
`define CLK_MAIN_IDX    `h00
`define CLK_DDRIDX      `h01
`define CLK_DDR0_IDX    `h02
...

module cr_tb

assign clk_array = {
    clk_main,
    clk_core_l2c_0,
    clk_ddr0,
    ...
    test_o
};

initial begin: main_block
...
set_ddr0_feq;//调用task
...
end


task set_ddr0_freq;
    get_real_clock(`CLK_DDR0_IDX,duration);
    $display("freq of clk_ddr0 is %f", duration);   

endtask


task get_real_clock;
    input  int index;
    output real_clock;
    real stime, duration;
    @(posedge clk_array[index]);
    stime = $realtime;
    @(negedge clk_array[index]);
    duration = $realtime - stime;
    real_clock =500.0/duration;
endtask

endmodule

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

狮子座硅农(Leo ICer)

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值