vivado tcl脚本自动创建工程

    在FPGA原型验证中自动化脚本经常用到tcl脚本创建工程,自动综合,生成bitstream全流程跑完,相对于图形化界面创建工程跑bit,用tcl脚本可以大大提高效率。

    全流程自动化脚本示例:

set project path ../../

set project_name fpga_top

set project part xcvu29p CIV-fsga2577-2-i

set top module leon3mp

create project $project name $project path -part $project part -force

source $project_path/creat_proj/tcl_file/mcu_vivado_filelist.tcl

set property top $top_module [current fileset]

set property target language VHDL [current project]

set property default_lib work [current project]

set property strategy Flow_AlternateRoutability [get_runs synth_1]

launch_runs synth_1 -jobs 32

wait_on_run synth_1

after 50000

launch_runs impl_1 -jobs 32

wait_on_run impl_1

after 50000

launch_runs impl_1  -to_step write_bitstream  -jobs 32

wait_on_run impl_1

exit

自动化脚本基本流程:

1, 创建工程

2,加入filelist

3,设置工程参数

4,综合

5,实现

6,生成bit

 

  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado是一款由Xilinx公司开发的综合布局工具,它可以用于FPGA的设计和开发TCL(Tool Command Language)是一种脚本语言,可以用于编写Vivado脚本文件。 Vivado TCL脚本可以用来自动化常见的Vivado任务,简化项目的构建过程。使用TCL脚本可以消除手动执行繁琐的Vivado命令的需要,提高开发效率。TCL脚本可以实现一系列操作,如创建工程、添加IP核、设置约束、合成、实现、生成比特流等。通过编写TCL脚本,可以将这些步骤一次性执行,大大减少了错误和重复的劳动。 Vivado TCL脚本使用起来非常简单。首先,在Vivado创建一个新的TCL脚本文件。然后,在脚本中编写Vivado命令,每个命令占一行。例如,我们可以使用以下命令创建一个新的工程: create_project my_project ./my_project 然后,我们可以使用以下命令设置约束: set_property -name {TIME_PERIOD} -value {10} [get_pins {clk}] set_property -name {CLOCK_DELAY} -value {2} [get_pins {clk}] 最后,我们可以使用以下命令执行合成和实现: synth_design -top {my_design} place_design route_design 当我们需要运行TCL脚本时,只需在VivadoTCL控制台中输入以下命令: source ./my_script.tcl Vivado自动执行脚本中的命令,并根据脚本的内容进行操作。 总的来说,Vivado TCL脚本提供了一种高效便捷的方法来自动Vivado项目的构建过程。通过编写和执行脚本,我们可以简化开发流程,提高开发效率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值