使用tcl 创建vivado工程

使用tcl 创建vivado工程

ubuntu 下vivado 运行tcl脚本

vivado -source xxx.tcl

#creat_xilinx_proj	注释

#设置工程输出路径
set outputDir ./proj 
#创建文件夹
file mkdir $outputDir

#在指定文件夹下创建工程 需要指定器件, -force 表示强制执行
#create_project proj_name  proj_dir -part xc7z010clg400-2 -force
create_project 03_test_tcl ./proj/ -part xc7z010clg400-2 -force
#添加verilog文夹
add_file [ glob ./led.v]
#向工程中添加block design 
read_bd ./src/processing_system/processing_system.bd


#设置顶层文件
set_property top led_0to_n [current_fileset]

上面的这个小例子可以使用tcl脚本简单的 创建vivado工程。
未完待续……

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值