vivado tcl创建工程和Git管理

一、Tcl工程创建

二、Git版本管理

对于创建完成的工程需要Git备份时,不需要上传完整几百或上G的工程,使用tcl指令创建脚本,并只将Tcl脚本上传,克隆时,只需要克隆tcl脚本,使用vivado导入新建工程即可。

优点:git文件小,传输方便;

缺点:新创建的工程为未编译状态,需要重新编译生成bit.

因此Git时,可以把tcl脚本和Bit\mcs文件一起Git.

Tcl生成步骤

方法一:

在原有工程中输入write_project_tcl D:/CJ2301/I2C_Mod_Pro1.tcl

方法二:

file-project-write tcl

 Tcl导入

打开vivado-在tcl conscle输入 

#打开文件目录
cd D:/CJ2301

source ./I2C_Mod_Pro1.tcl

 或者在vivado中选择菜单tools-run tcl script,选择.tcl文件

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

朝阳群众&热心市民

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值