verilog的编码规范

编码风格:

1.系统级的信号命名,如复位,置位,时钟等要以sys开头。

2.低电平有效信号用下划线+字母n,举例Sysrst_n

3.经锁存器锁存后的信号加下划线和字母r,如CpuRamRd经过锁存器锁存后变为CpuRamRd_r.低电平有效信号经锁存器锁存后变为nr。CpuRamRd_n变为CpuRamRd_nr.多级锁存后加多个r。CpuRamRd_rr.

4.模块命名,模块命名以三个字母最好,Arithmatic Logic  Unit--ALU。Data Memory Interface-DMI。Decoder-DEC。

5.模块间的接口命名。CPUMMU-WrReq。从CPU发向MMU的Wr请求。中间的请求类型与数据方向要分开。

6.模块内部信号。取单词的前几个字母,缩写单词的首字母大写。如Clk,Wr。

编码格式:

1.分节。每节之间加1个或者多个空格,initial always都可以是一节。

2.行首用tab键对齐。

3.注释放在注释段对齐的最头上各占一行。

4.空格。不同变量以及变量与符号,变量与括号之间都要有1个空格。

5.不同层次之间的语句用tab键进行缩进,每加深一层缩进一个tab。

6.在endmodule,endtask,endcase等标记一个代码块结束后说明这个代码块的名称。

7.同一个层次的所有语句左端对齐。

8.在task名称前加tsk作为标记,同样function名称前加func作为标记。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog 是一种硬件描述语言,用于描述数字系统的行为和结构。编写 Verilog 代码时,遵循一些编码规范可以提高代码的可读性和可维护性。以下是一些常见的 Verilog 编码规范: 1. 缩进:使用适当的缩进来显示代码的层次结构。通常使用四个空格或一个制表符进行缩进。 2. 注释:使用注释来解释代码的功能、目的和实现细节。注释应该清晰明了,帮助他人理解代码。 3. 命名规范:选择有意义的变量和模块名称,使用驼峰命名法或下划线分隔符命名法。避免使用单个字符作为变量名称。 4. 代码布局:按照从顶层到底层的顺序组织代码。首先定义模块接口,然后是内部信号和变量声明,最后是具体的功能实现。 5. 模块接口:按照输入、输出和内部信号的顺序声明模块接口。在每个端口之间使用逗号分隔。 6. 关键字和运算符:将关键字和运算符与周围的文本用空格分隔开,以增加代码的可读性。 7. 括号使用:在括号内部和外部留有适当的空格,以增加代码的可读性。避免过多或过少使用括号。 8. 代码长度:避免编写过长的代码行,通常建议每行不超过80个字符。 9. 代码复用:使用模块化的方法编写代码,避免重复的功能实现。可以将常用的逻辑封装为子模块,以便在多个地方复用。 10. 代码风格一致性:在一个项目或团队中保持代码风格一致性,以便于代码的理解和维护。 以上是一些常见的 Verilog 编码规范,希望对你有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值