第一个UVM环境:hello world

参考博文,很有用,按照步骤来可以解决

下载UVM1.1d原码:
csdn传送
win下解压,将如下文件夹复制到linux
在这里插入图片描述
在这里插入图片描述
完成参考博文第3步:配置环境变量
在这里插入图片描述
这里和参考博文不一致的是,我们的文件有两层uvm-1.1d,要写到最后一层。然后source。

之后和博文的流程完全一致了。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值