解决FIFO读写位宽不等的问题

上周阿里面试官问ram两侧读写位宽不一样改如何解决?当时含糊了一下,事后再认真分析其中缘由。

思路

取读写位宽的最大公因数作为ram的位宽,控制读写指针的步进,并预测下一次的指针位置从而判断空满,和普通fifo一样的是指针还是要计两圈。

module sync_dff_wid_fifo#(
    parameter I_WID = 15,
    parameter O_WID = 10,
    parameter FIFO_WID = 5,
    parameter DEPTH = 8,
    parameter ADDR_WID = 3,
    parameter I_ADDR_STEP = 3,
    parameter O_ADDR_STEP = 2
)
(
    input clk,
    input rst_n,
    
    input wr_en,
    input [I_WID - 1 : 0] wr_data,
    input rd_en,
    output [O_WID - 1 : 0] rd_data,
    output empty,
    output full
);
    //=============defination
    reg [FIFO_WID - 1 : 0] ram [0 : DEPTH - 1];
    reg [ADDR_WID : 0] wr_pnt;
    reg [ADDR_WID : 0] rd_pnt;
    wire [ADDR_WID - 1 : 0] wr_addr;
    wire [ADDR_WID - 1 : 0] rd_addr;
    wire [ADDR_WID : 0] wr_pnt_next;
    wire [ADDR_WID : 0] rd_pnt_next;

    reg full, empty;
    reg [O_WID - 1 : 0] rd_data;
   
    //=============combinal logic
    assign wr_addr = wr_pnt[ADDR_WID - 1 : 0];
    assign rd_addr = rd_pnt[ADDR_WID - 1 : 0];
    assign wr_pnt_next = wr_pnt + I_ADDR_STEP;
    assign rd_pnt_next = rd_pnt + O_ADDR_STEP;

    //=============sequential logic
    always@(posedge clk or negedge rst_n)begin
        if(!rst_n) wr_pnt <= 'd0;
        else if(wr_en && !full) wr_pnt <= wr_pnt + I_ADDR_STEP;
    end
    always@(posedge clk or negedge rst_n)begin
        if(!rst_n) rd_pnt <= 'd0;
        else if(rd_en && !empty) rd_pnt <= rd_pnt + O_ADDR_STEP;
    end

    always@(posedge clk)begin
        if(wr_en && !full) begin
            ram[wr_addr] <= wr_data[I_WID - 1 -: FIFO_WID];
            ram[wr_addr + 1] <= wr_data[I_WID - FIFO_WID - 1 -: FIFO_WID];
            ram[wr_addr + 2] <= wr_data[I_WID - FIFO_WID * 2 - 1 -: FIFO_WID];
        end
    end
    
    always@(*)begin
        if(rd_en && !empty) rd_data = {ram[rd_addr], ram[rd_addr + 1]};
    end

    always@(*)begin
        if((wr_pnt_next[ADDR_WID] ^ rd_pnt_next[ADDR_WID]) && wr_pnt_next[ADDR_WID - 1 : 0] > rd_pnt[ADDR_WID - 1 : 0]) full = 1'b1;
        else full = 1'b0;
    end
    always@(*)begin
        if(rd_pnt_next > wr_pnt) empty = 1'b1;
        else empty = 1'b0;
    end
endmodule 
module tb_sync_dff_wid_fifo();
    //===================parameter
    parameter PERIOD = 10 / 2;


    //===================defination
    reg clk, rst_n;
    reg wr_en, rd_en;
    reg [14 : 0] wr_data;
    wire [9 : 0] rd_data;
    wire full, empty;
    //===================output
    initial begin
        clk = 0;
        forever 
        #PERIOD clk = ~clk;
    end

    initial begin
        rst_n = 0;
        #20 
        rst_n = 1;
    end
    
    initial begin
        @(rst_n);
        wr('b1);
        wr('d2);
        wr('d3);
        rd();
        rd();
        rd();
	//wait(done);
	#5000 $finish; 
    end
    sync_dff_wid_fifo u_sync(
        .clk(clk),
        .rst_n(rst_n),

        .wr_en(wr_en),
        .wr_data(wr_data),
        .rd_en(rd_en),
        .rd_data(rd_data),
        .full(full),
        .empty(empty)
    );

    task wr(input [29 : 0] data);
        @(posedge clk);
        #1;
        wr_en = 1;
        wr_data = data;
        @(posedge clk);
        #1;
        wr_en = 0;
    endtask
    task rd();
        @(posedge clk);
        #1;
        rd_en = 1;
        @(posedge clk);
        #1;
        rd_en = 0;
    endtask


   
`ifdef FSDB
initial begin
	$fsdbDumpfile("tb_sync_dff_wid_fifo.fsdb");
	$fsdbDumpvars;
    $fsdbDumpMDA();
end
`endif

endmodule 

在这里插入图片描述

  • 5
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
FIFO(First-In-First-Out)是一种存储数据的先进先出的队列结构。在FIFO读写操作中,如果读写位宽一致,意味着读取或写入的数据长度和格式不同。 读写位宽一致可能导致一些问题,例如: 1. 数据丢失:当写入和读取的位宽一致时,可能会导致数据在写入或读取时发生截断,造成数据丢失。 2. 数据错位:读写位宽一致可能导致数据在读取时发生错位。例如,如果写入的数据位宽较小,而读取的数据位宽较大,读取时可能会读取到不正确的数据。 3. 数据转换问题读写位宽一致可能需要进行数据转换。如果写入和读取的数据位宽不同,那么在读取时需要进行数据格式的转换,这可能会增加系统的复杂性和开销。 为了解决读写位宽一致问题,可以采用以下方法: 1. 数据位宽匹配:在FIFO读写操作之前,将写入和读取的数据位宽进行匹配,保证它们一致。可以使用位宽转换器或者数据格式转换器来实现。 2. 数据标志:在FIFO读写操作时,可以使用数据标志来标识不同位宽的数据。在读取时,根据数据标志来确定读取的数据位宽,并进行相应的处理。 3. 数据缓存:如果无法直接解决读写位宽一致问题,可以考虑使用数据缓存。在写入时,将数据缓存起来,并进行相应的位宽转换。在读取时,根据需要从缓存中读取相应位宽的数据。 总之,读写位宽一致可能会导致数据的丢失、错位或需要进行数据转换。为了解决这个问题,可以采用数据位宽匹配、数据标志或数据缓存等方法来保证数据的正确读写
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值