学习笔记--FPGA同步复位和同步复位

同步复位

always@(posedge sys_clk)

同步只的是和工作时钟同步的意思,上升沿触发时,当时钟的上升沿到来时监测到按键的复位操作时才有效,否则无效。

图中的输入输出的信号用可表达为

always@(posedge sys_clk)

            if(sys_rst_n == 1'b0)      

                      out <= 1'b0

           else  

                      out <= in ;

在这幅图中我们着重看第二根和第三根虚线,我们可以发现当复位信号产生时输出,输出信号并没有立即被拉低,而是在上升沿到来的时刻才被拉低成功;第二根线可以看出复位释放的时候也是如此,输出信号并没有立即释放而是在上升沿到来的时候才拉高.

异步复位

always@(posedge sys_clk or negedge sys_rst_n)

异步即是与时钟不同步的意思,当检测的复位信号时,立即执行复位操作。

<

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值