自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(22)
  • 收藏
  • 关注

原创 FPGA学习日记(7) 阻塞赋值与非阻塞赋值

补发 FPGA学习日记(7) 阻塞赋值与非阻塞赋值

2022-07-28 20:10:27 183 1

原创 filp 同步复位 异步复位

代码filp

2022-07-28 11:32:52 288

原创 补发 FPGA学习日记(5) 全加器

补发 FPGA学习日记(5) 全加器

2022-07-27 15:59:02 195

原创 FPGA学习日记(3) 译码器

补发 FPGA学习日记(3)译码器

2022-07-27 13:05:13 124

原创 补发 FPGA学习日记(4) 半加器

补发 FPGA学习日记(4) 半加器

2022-07-27 12:35:26 127

原创 FPGA学习日记(2) mux2_1 多路选择器

补发 FPGA学习日记(2) mux2_1 多路选择器

2022-07-26 20:45:13 1727

原创 FPGA 学习日记(1) 点亮一个led

补发 FPGA 学习日记(1) 点亮一个led

2022-07-26 19:52:25 126

原创 FPGA学习日记(19)--IP核

module pll( input wire sys_clk , output wire clk_mul_2 , output wire clk_div , output wire clk_pha_90 , output wire clk_duc_20 , output wire locked ); pll...

2022-04-22 16:49:43 251

原创 FPGA学习日记(18)--数码管动态显示

数据生成模块module data_gen#( parameter CNT_MAX = 23'd4999_999 , parameter DATA_MAX = 20'd999_999 )( input wire sys_clk , input wire sys_rst_n , output reg [19:0] data ...

2022-04-18 10:05:25 620

原创 FPGA学习日记(17)--数码管静态显示

第一个功能模块module seg_static#( parameter CNT_MAX = 25'd24_999_999)( input wire sys_clk , input wire sys_rst_n , output reg [5:0] sel , output reg [7:0] seg );reg [24:0] cnt;...

2022-04-10 18:14:45 363

原创 FPGA学习日记(16)--无源蜂鸣器驱动

2022-04-02 23:29:46 459

原创 FPGA学习日记(15)--状态机(投币(一元、五角)出可乐(2.5)找零五角)

FPGA学习日记(15)--状态机(投币(一元、五角)出可乐(2.5)找零五角)

2022-04-02 14:00:19 512

原创 FPGA学习日记(14)--状态机(投三个币出可乐)

状态机

2022-04-01 16:55:59 335

原创 FPGA学习日记(13)--呼吸灯

module breath_led#( parameter CNT_1S_MAX = 10'd999 , parameter CNT_1MS_MAX = 10'd999 , parameter CNT_1US_MAX = 6'd49 )( input wire sys_clk , input wire sys_rst_n , output reg led_out);reg [9:0] cnt_1s;...

2022-03-27 15:11:19 517 1

原创 FPGA学习日记(12)--流水灯

module water_led#( parameter CNT_MAX = 25'd24_999_999)( input wire sys_clk , input wire sys_rst_n , output wire [3:0] led_out);reg [24:0] cnt;reg cnt_flag;reg [3:0] led_out_reg;//计数器always@(posedge sys_clk or...

2022-03-26 13:08:45 150

原创 FPGA学习日记(11)--触摸按键控制led灯

module touch_led( input wire sys_clk , input wire sys_rst_n , input wire touch_key , output reg led);reg touch_key_1;reg touch_key_2;wire touch_flag;//边沿检测always@(posedge sys_clk o...

2022-03-25 22:50:01 188

原创 FPGA学习日记(10)--按键消抖

module key_filter#( parameter CNT_MAX = 20'd999_9999)( input wire sys_clk , input wire sys_rst_n , input wire key_in , output reg key_flag);reg [19:0] cnt_20ms ;always@(posedge sys_clk or neg...

2022-03-23 17:15:49 215

原创 FPGA学习日记(9-2)分频器--奇分频

FPGA学习日记(9-2)分频器--奇分频

2022-03-22 22:47:06 168

原创 FPGA学习日记(9-1) 分频器——偶分频

module divider_six( input wire sys_clk, input wire sys_rst_n, output reg clk_out);reg [1:0] cnt;always@(posedge sys_clk or negedge sys_rst_n)//使用异步复位 if(sys_rst_n == 1'b0) cnt <= 2'd0; else if(cnt == 2'd2) ...

2022-03-21 23:33:54 196

原创 FPGA学习日记(8) 计数器

计数器结构时钟频率为50MHz需要计数到M-1,波形图如果计数到M/2-1,波形图两种区别在于计数器的位宽,计数到M/2-1需要用到的位宽比M-1少一位,更可以节约资源。`timescale 1ns/1nsmodule tb_counter();reg sys_clk,reg sys_rst_n,wire led_out;initial begin sys_clk = 1'b1; sys_rst_n &lt...

2022-03-20 16:47:58 1135

原创 FPGA学习日记(6)同步复位与异步复位

同步复位就是指复位信号只有在时钟上升沿到来时,才能有效module flip_flop( input wire sys_clk, input wire sys_rset_n, input wire key_in, output reg led_out);always@(posedge sys_clk )//上升沿,同步复位 if(sys_rset_n == 1'b0) led_out<= 1'b0; else

2022-03-19 18:00:09 769

原创 FPGA学习日记(7) 阻塞赋值与非阻塞赋值

零基础开始入门学习FPGA,记录学习心得

2022-03-19 16:48:00 436

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除