FPGA内部如果有无符号数转换

1.无符号数转化为有符号数

input  [9:0] DAT_ADC  ; 
output [9:0] DOUT     ; 
reg signed [9:0] DOUT  ; 
always @ (posedge CLK_ADC) begin  
DOUT    <= DAT_ADC - 2^a   ;
end

a为输入位宽

2.有符号数转化为无符号数

input  [12-1:0]  DATIN ;
output [12-1:0] DAT2DAC ;
reg [12-1:0]  DAT2DAC ;
reg [12-1:0]  datin_R1;
always @ (posedge CLKIN) begin
  datin_R1 [11: 0] <= {1'b1,DATIN[11:1]} - DATIN[11]*2^a;
  DAT2DAC          <= datin_R1 ;
end

a为输入位宽

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值