Count clock

解析:

需要实现一个时钟。分别建立一个60计数和12计数的BCD时钟.

代码:

module top_module(
    input clk,
    input reset,
    input ena,
    output pm,
    output [7:0] hh,
    output [7:0] mm,
    output [7:0] ss);
    reg[2:0] enable;
    //秒分时 使能
    assign enable[0] = ena;
    assign enable[1] = ena&&(ss==8'h59);
    assign enable[2] = ena&&(ss==8'h59)&&(mm==8'h59);
    //分别调用12,60计数器
    cont60 u1(clk,reset,enable[0],ss);
    cont60 u2(clk,reset,enable[1],mm);
    cont12 u3(clk,reset,enable[2],hh);
   
    //AM\PM指示,在11:59:59时取反即可
    always@(posedge clk)
        begin
            if(reset) pm<=1'b0;
            else if((hh==8'h11)&&(mm==8'h59)&&(ss==8'h59))
                pm=~pm;
        end
    
endmodule

//60计数器
module cont60(
input clk,
input reset,
input ena,
output [7:0] q );
    always@(posedge clk)
    begin
        if(reset) q<=8'b0;
        else if (ena)
            begin
                if(q==8'h59) q<=8'b0;
                else
                    begin
                if(q[3:0]==4'b1001) 
                    begin
                        q[3:0]<=4'b0;
                        q[7:4]<=q[7:4]+1'b1;
                    end 
                else q[3:0]=q[3:0]+1'b1;
            end
            end
    end    
endmodule

//12计数器
module cont12(
input clk,
input reset,
input ena,
output [7:0] q );
 
    always@(posedge clk)
        begin
            if(reset) q<=8'h12;
            else if(ena)
                begin
                    if(q==8'h12) q<=8'h1;
                    else if(q[3:0]==4'h9) 
                        begin
                            q[7:4]<=q[7:4]+1'b1;
                            q[3:0]<=4'b0;
                        end
                    else q[3:0]<=q[3:0]+1'b1;
                end
        end
endmodule
 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值