lattice unconnected pin, preventing the optimization during synthesis

What is the procedure to prevent unused IO logic from getting optimized out during Synthesis and MAP in Lattice Diamond, while using GUI or Active-HDL batch mode?
Lattice Diamond tool prevents unused, unconnected IO logic from getting generated, but sometimes user might want to keep a particular IO assignment and the related logic.

Below is the procedure to prevent unwanted optimization:

add the following attributes for preventing the optimization during synthesis to your source code:

e.g. input clk, rst, test / synthesis syn_force_pads=1 syn_noprune=1/;**

In the Lattice Diamond active Strategy, go to MAP> Design > command line options >add “-u”

This argument will prohibit Mapper from removing unused logic.

For Active-HDL batch mode:

There is a check box in the Mapper window called \u201Cremove unused logic\u201D. Unchecking this box will add “-u” to your mapper command line options resulting in the \u201C-s 5 -o\u201D section of the mapper command line arguments being changed to \u201C-s 5 \u2013u -o\u201D. This will let the user keep unused IO logic.

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值