FPGA Verilog 信号的上升沿、下降沿检测

module edge_check (
        input                           clk,            //时钟信号
        input                           signal,         //输入信号
        output                          pos_edg,        //输出是否为上升沿
        output                          neg_edg         //输出是否为下降沿
    );

    reg signal_reg0;
    reg signal_reg1;

    always @ (posedge clk) begin
        signal_reg0     <= signal;
        signal_reg1     <= signal_reg0;
    end

    assign pos_edg = !signal_reg1 && signal_reg0;
    assign neg_edg = signal_reg1 && !signal_reg0;

endmodule

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值