Verilog实现上升、下降沿检测 FPGA

Verilog实现上升、下降沿检测

源文件

`timescale 1ns / 1ps

module top(
        input clk,      //时钟信号
        input rst_n,    //复位信号,低电平有效
        input signal    //待检测信号
    );

    wire posedge_get;       //检测到上升沿标志
    wire negedge_get;       //检测到下降沿标志
    reg signal_buff_1;      //输入数据缓冲1
    reg signal_buff_2;      //输入数据缓冲2

    //对输入数据进行两次缓存(打两拍)
    always @(posedge clk or negedge rst_n) begin
        if (rst_n == 0) begin
            signal_buff_1 <= 0;
            signal_buff_2 <= 0;
        end
        else begin
            signal_buff_1 <= signal;
            signal_buff_2 <= signal_buff_1;
        end
    end

    //前一个时钟为低电平,下一个时钟为高电平,说明上升沿到来。
    assign posedge_get = (signal_buff_2 == 0)&&(signal_buff_1 == 1);

    //前一个时钟为高电平,下一个时钟为低电平,说明下降沿到来。
    assign negedge_get = (signal_buff_2 == 1)&&(signal_buff_1 == 0);

endmodule

激励文件

`timescale  1ns / 1ps

module tb_top;

    // 时钟参数
    parameter PERIOD  = 10;

    // 输入参数
    reg   clk                                  = 0 ;
    reg   rst_n                                = 0 ;
    reg   signal                               = 0 ;

    initial begin
        forever
            #(PERIOD/2)  clk=~clk;  //系统时钟
    end

    initial begin
        #(PERIOD*2) rst_n  =  1;    //复位信号
    end

    //例化边沿检测模块
    top  u_top (
             .clk                     ( clk      ),
             .rst_n                   ( rst_n    ),
             .signal                  ( signal   )
         );

    initial begin

        #(PERIOD*2.5) signal=1;     //信号拉高,上升沿到来
        #(PERIOD*4) signal=0;       //信号拉低,下降沿到来
    end

endmodule

仿真波形

请添加图片描述

释义

代码的含义,已经通过注释讲明,如有不清楚存在疑问的,可以评论留言。

这里解释下,为什么要拍2拍,为什么不只是拍1拍,也就是为什么存在两个signal_buff。

试想一下,当上升沿到来的时候,signal_buff_1为低电平,signal为高电平,可以直接判断出上升沿的到来,没有必要再设置signal_buff_2。可是如果这样判断上升沿,因为signal是不受时间控制的,posedge_get会在时钟高电平或者低电平期间变化。
请添加图片描述

假如要是signal有噪声,那么posedge_get也将会有噪声。
虽然大部分时候,后续的操作会避免这个异步因素,但是这个异步代码终究是个隐患
这位前辈就是采用打一拍的方式检测上升下降沿。【数字IC手撕代码】Verilog边沿检测电路(上升沿,下降沿,双边沿)|题目|原理|设计|仿真_myhhhhhhhh的博客-CSDN博客_verilog上升沿检测

  • 6
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
根据引用\[2\]中的Verilog代码,可以实现多位寄存器检测上升下降沿的功能。在该代码中,使用了两级寄存器来检测下降沿。每个寄存器都会在时钟上升沿时更新,并将前一级寄存器的值存储在当前级寄存器中。通过对两级寄存器的值进行逻辑运算,可以得到下降沿指示信号。具体的代码如下: ```verilog module detect_multi ( input sys_clk, // 时钟(设定为 50MHz) input sys_rst_n, // 复位信号(n 表示低电平有效) input \[N-1:0\] in, // 需要进行上升下降沿检测的输入信号(N为位宽) output \[N-1:0\] in_pos, // 输出的上升沿指示信号 output \[N-1:0\] in_neg // 输出的下降沿指示信号 ); reg \[N-1:0\] in_d1; // 一级寄存器 reg \[N-1:0\] in_d2; // 二级寄存器 assign in_neg = ~in_d1 & in_d2; // 组合逻辑得到下降沿 assign in_pos = in & ~in_d1; // 组合逻辑得到上升沿 always @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begin in_d1 <= {N{1'b0}}; // 复位清零 in_d2 <= {N{1'b0}}; end else begin in_d1 <= in; // 寄存一拍 in_d2 <= in_d1; // 寄存二拍 end end endmodule ``` 在这个代码中,`N`表示输入信号的位宽。通过使用多级寄存器,我们可以同时检测多位输入信号的上升下降沿。 #### 引用[.reference_title] - *1* *2* *3* [FPGA实现边沿检测电路(上升沿下降沿)](https://blog.csdn.net/wuzhikaidetb/article/details/112187021)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值