verilog仿真测试(一)$test$plusargs 和 $value$plusargs

本文介绍了Verilog和SystemVerilog仿真中使用的系统函数 `$test$plusargs` 和 `$value$plusargs` 的优势,如何避免条件编译的效率问题,并详细阐述了两者在仿真时的用法,包括参数传递和值的格式化。通过实例展示了如何在运行命令中指定参数,以及当数据位宽不一致时的处理原则。
摘要由CSDN通过智能技术生成

$ test $ plusargs和 $ value $ plusargs作为进行 Verilog 和 SystemVerilog仿真运行时调用的系统函数:
1、可以在终端仿真命令中直接赋值,避免了调换参数带来的频繁编译问题。
2、不局限于不同仿真器对于参数在仿真命令中定义格式的不同

(一)使用宏定义的条件编译有何缺点?
例如:

initial
begin
	`ifdef dumpon
		$dumpfile("res.vcd");
		$dumpvars;
	`endif
end

如果需要调用条件编译中的系统函数需要在编译时指定`define的宏定义

<compile-option> -define dumpon tb.v

但是就会产生一个问题,如果需要改变编译条件,就会需要重新编译,从而降低了仿真的效率。

(二)$ test $ plusargs
在运行仿真时指定要选择的条件,只需要在仿真运行命令(run-options)指定参数需要的条件即可。

initial
	begin
	if($test$plusargs("test1")
		$readmemh("test1.dat",mem1);
	if($test$plusargs("test2")
		$readmemh("test2.dat",mem2);
	end

+test1+test2
如果仿真不需要test1,只需要将test1从运行命令中删除即可。

(三)$ value

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值