Systemverilog里data type的记录

1. 区别data typedata object

我的理解data type是类似于class type,然后data object是类似于class object,相当于一个entity。所以data type可以用于声明data object。每一个data object是一个命名的entity,它带有一个data value和data type。比如:

int是一个data type;

int a=1; //a就是一个data object;

2. Singular and aggregate types

Data types可以被分类为singular或aggregate。除了unpacked structure、unpacked union、unpacked arrary,其它的data type都是singular type。unpacked structure、unpacked union、unpacked arrary都是aggregate type。一个singular variable或expression代表一个value、symbol或handle。Aggregate expressions和variables代表一组singular value。之所以这样分类,是因为方便operators和functions可以更方便的引用这些data types。

3. Nets and variables

Data objects主要可以分为两组:variables和nets。这两组的不同之处在于他们赋值和保持value的方式。

Net可以被一个或多个continuous assignment、primitive output、module port赋值。variable可以被一个或多个procedural statements赋值(包括procedural continuous assignment)。

Net可以在declaration的时候,implicit连续赋值。但variable如果在declaration的时候也给了assignment,那也只是相当于给该variable初始化,而不是continuous assignment。

有两个不同类型的net types:built-in和user-defined。Net type主要是模拟物理连线,因此net通常不能store value(除了trireg net)。Net的值取决于它的drivers,例如continuous assignment或gate。如果没有driver的话,那么它的值将会是高组态。built-in的net types有:

wire和tri是相同的syntax和functions,提供两个名字主要是用于model只是不同的purpose。wire用于被single gate或continuous assignment驱动的nets,tri用于被多个drivers驱动的nets。如果有多个drivers具有相同的logical conflicts,那么结果就是x态。

Variable是data storage element的抽象,它可以储存value。

4. Type compatibility

有5个水平的type compatibility:matching、equivalent、assignment compatible、cast compatible和nonequivalent。

5. ScalarVector

在reg、logic或bit定义中,scalar是1bit位宽;vector是多bit位宽。

5. Packed arraysunpacked array的区别

Packed array是声明在data identifier name之前的dimensions,可以是1维或多维的,1维的也称为向量vector。Unpacked arrary是声明在data identifier之后的dimensions,可以1维或多维的。

Packed arrays可以将vector分为subfields,这样可以方便的访问到array中的元素。因此,packed array需要连续的bit存储。

固定size的unpacked arrary用以下两种方式声明都可以的:

6. Memory

element类型为reg、logic或bit的一维数组称为memory,如:

      logic [7:0] mema [0:255];  // declares a memory arrary of 256 8-bit elements. The array indices are 0 to 255

7. Multidimensional arrarys

多维数组是数组中包含数组。多维数组可以用包括多维来定义的。在identifier之前的为packed维度,在identifier之后的称为unpacked维度。

在使用多维数组时,packed dimension在unpacked dimension之后,并且最右边的维度变化最快,如:

Part-select指的是选择一维packed arrary中某1bit或多个连续bit。

Slice指的是选择一个数组中一个或多个连续的elements。

part-selct和slice选择的size必须是常数,但位置可以是变量,如:

8. unpacket arrary访问方式

数组的index中如果是超出数组大小或包含任何的x/z态,那么该index就是无效的。

用invalid index从unpacked array读取内容,结果如下:

用invalid index写到array中,应该是不执行任何操作,除了queue中[$+1]的元素操作或者associative array创建新元素。

 

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

谷公子的藏经阁

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值