1.2.7 Verilog有限状态机

一、有限状态机概述

1.任何事物都可以用一种模型来描述:给定某个输入就有特定的输出,这个输出可能只与当前输入相关,也可能与以前的历史输入相关(数学上称为马尔可夫过程)。
2.对应到逻辑电路上,即,任何一个复杂的数字电路功能都可以通过对其输入输出行为的描述来进行准确描述。通过存储器加上组合电路就能够完整地描述任何复杂的数字电路功能
3.在数字逻辑设计中,这种建模方法被称为有限状态机(Finite State Machine,FSM)。有限状态机可以将任意模型简化为这样的描述:将要输出的结果是当前状态以及当前输入的组合

二、有限状态机的设计思想

有限状态机是由:一组状态(State),一个起始状态(Start State),一组输入以及根据输入和现有状态转换为下一个状态的转换函数(Transition)组成的状态模型,如图:
在这里插入图片描述
有限状态机是闭环系统,状态可以无线循环跳转,可以用有限的状态处理无限的事务。

三、有限状态机的设计

有限状态机的设计可分为四个部分:状态机的编码、状态机的复位、状态机的条件转移、状态机的输出

1.状态机的编码

状态机的编码主要是为了定义参数,增强程序可读性。常用的是顺序码,格雷码,独热码
1.格雷码:可以减少相邻状态瞬变的次数,有时若不能在所有状态中采用格雷码,则应在状态矢量中增加触发器的数量以减少开关的次数。
2.独热码:编码使用触发器较多,却可以减少组合逻辑的使用,在带多个输出且每个输出是几个状态的函数的状态机更是如此

2.状态机的复位

复位有两种:同步复位和异步复位。
1.同步复位:复位要与分频后的时钟信号同步,触发信号仅为分频后的时钟信号。
2.异步复位:复位与分频后的时钟信号和复位信号都参与触发。
此外,由于电路的外部干扰等,状态机存在进入未知状态的情况,此时需要对状态机进行自动复位,添加看门狗电路就是最佳选择。

3.状态机的条件跳转

这是状态机的核心部分。状态机的条件跳转是控制整个状态机在状态之间的切换,从而决定输出的情况

4.状态机的输出

状态机的输出有两种描述风格:摩尔(moore)型米利(mealy)型
1.moore型状态机的输出只与当前状态有关
2.mealy型状态机的输出不仅与当前状态有关,还与输入有关
因为mealy型状态机的输出与输入有关,输出信号中很容易出现毛刺,所以建议

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值