vivado启动方法

在项目中启动Vivado设计套件和非项目模式

您可以启动Vivado Design Suite并使用不同的方法运行这些工具,具体取决于您的偏好。例如,您可以在中选择一种基于Tcl脚本的编译风格方法您可以自己管理资源和设计过程,也称为非项目模式。或者,可以使用基于项目的方法自动管理设计过程以及使用项目和项目状态的设计数据,也称为项目模式。这两者中的任何一个方法可以使用Tcl脚本批处理模式运行,也可以在Vivado IDE中交互运行。对于有关不同设计流程模式的更多信息,请参阅Vivado design Suite用户中的此链接指南:设计流程概述(UG892)。

1、使用Tcl

如果您喜欢直接使用Tcl,可以使用Tcl命令与您的设计交互使用以下任一方法:

•在Vivado IDE外部的Vivado Design Suite Tcl shell中输入单个Tcl命令。

•在Vivado IDE底部的Tcl控制台中输入单个Tcl命令。

•从Vivado Design Suite Tcl shell运行Tcl脚本。

•从Vivado IDE运行Tcl脚本。

有关使用Tcl和Tcl脚本的更多信息,请参阅Vivado Design Suite用户指南:使用Tcl脚本(UG894)、Vivado Design Suite Tcl命令参考指南(UG835)和Vivado设计套件用户指南:设计流程概述(UG892)。有关逐步教程,显示如何在Vivado工具中使用Tcl,请参阅Vivado设计套件教程:设计流程概述(UG888)。

推出Vivado Design Suite Tcl Shell

使用以下命令在Linux上调用Vivado Design Suite Tcl shell命令提示符或在Windows命令提示符窗口中:

vivado -mode tcl

注意:在Windows上,您也可以选择“开始”→“所有程序”→“Xilinx设计工具”→“生活<版本>”→

Vivida<version>Tcl Shell。

使用批处理Tcl脚本启动Vivado工具

您可以在批处理模式下使用Vivado工具,方法是在调用该工具时提供Tcl脚本。使用通知声音在Linux命令提示符下或在Windows命令中执行以下命令提示窗口:

vivado -mode batch -source <your_Tcl_script>

注意:在批处理模式下工作时,Vivado工具会在运行指定的脚本后退出。

2、使用Vivado IDE

如果您喜欢在GUI中工作,可以从Windows或Linux启动Vivado IDE。了解更多有关Vivado IDE的信息,请参阅《Vivado Design Suite用户指南:使用Vivado IDE》(UG893)和Vivado Design Suite用户指南:设计流程概述(UG892)。

建议:从包含您的项目或工作的目录中启动Vivado IDE目录这样可以更容易地定位项目文件、日志文件和日志文件,这些文件被写入启动目录。

提示:要快速访问Vivado IDE不同部分的信息,请单击中的“快速帮助”按钮窗口或对话框。

在Windows上启动Vivado IDE

选择开始 → 所有程序 → Xilinx设计工具 → Vivado<版本> → Vivado<版本>。

注意:您也可以双击桌面上的Vivado IDE快捷方式图标。

在Windows或上从命令行启动Vivado IDELinux

要从Linux或Windows命令行启动Vivado Design Suite,必须安装并将该工具配置为在本地机器上运行。安装将Vivado工具添加到路径。

安装工具后,在命令提示下输入以下内容:

vivado

当您输入此命令时,它会自动运行vivado-mode gui来启动vivado石斑鱼类。如果你需要帮助,请键入vivado-help。

提示:要将Vivado工具路径添加到当前的shell/命令提示符,请运行settings64.bat或来自<install_path>/Vivado/<version>目录的settings64.sh。

从Vivado Design Suite Tcl Shell启动Vivado IDE

当Vivado Design Suite在Tcl模式下的交互式Tcl命令shell中运行时可以在Tcl提示符下使用以下命令启动Vivado IDE并打开Vivado工具GUI:

start_gui
  • 25
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值