如何双击打开vivado工程_利用TCL重建vivado工程

vivado生成的工程文件有几百兆大小,一个程序在调试过程中有多个版本。多个工程文件占用硬盘空间较大,并且不利于用git软件做版本管理。今天听说可以用TCL脚本重构vivado工程,只需要利用vivado生成重建工程的脚本和源文件,即可自动恢复工程。具体操作如下:

在当前版本的工程调试没有问题的情况下,在tcl_console输入如下命令,将脚本运行文件夹切换到当前工程文件夹:

cd [get_property directory [current_project]]

输入生成重建vivado工程脚本命令(即将工程所有配置命令写入这个脚本):

write_project_tcl -force prj_gen.tcl

-force后为生成脚本文件名称,可自行更改,现在工程文件夹中就生成了用于重建工程的TCL脚本。

将源代码,ip核,约束文件(如果是vivado默认生成的,就直接拷贝走source文件夹)和这个tcl脚本文件一起拷贝到新的文件夹。打开vivado,在控制台(tcl_console)输入命令,切换到当前文件夹,例如:

cd F:

执行上述指令就将文件夹切换到F盘。切换完成后执行重构文件,即可恢复工程。命令如下:

source ./prj_gen.tcl

参考文章链接如下:

使用Git进行Vivado版本控制_black_pigeon博客-CSDN博客​blog.csdn.net
34d387d0dd1f610c4c71df752e4c7c09.png
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值