vivado Aurora 8B/10B IP核(7)-状态,控制和收发器接口(Status, Control, and the Transceiver Interface)

Aurora 8B/10B IPCORE 的状态和控制端口允许应用程序监视通道并使用收发器的内置功能。
提供状态和控制界面,收发器串行 I / O 接口以及专用于单工模块的边带初始化端口的图表和端
口说明。
状态和控制端口
Aurora 8B/10B 内核的状态和控制端口的功能如表 所示。
注:
1.m是收发器的数量。 有关详细信息,请参阅错误状态信号。
2. 仅在TX只有单工数据流模式和边带作为后通道核心配置。
3. 仅适用于仅限于RX的单工数据流模式和边带作为后通道核心配置。
Full-Duplex Cores全双工IP CORE
Full-Duplex Status and Control Ports(全双工状态和控制端口)
全双工内核提供 TX 和 RX Aurora 8B/10B 通道连接。 全双工 Aurora 8B/10B 内核的状态和控制界面 如图 
错误状态信号
在 Aurora 8B/10B 通道操作中,设备问题和通道噪声可能会导致错误。8B/10B 编码允许 Aurora
8B/10B 内核检测通道中出现的所有单位错误和大多数位错误,并在每个周期内断言 soft_err。TX 单工内核不包括一个 soft_err 端口。除非发生设备问题,否则所有传输数据都被认为是正确的。
IPCORE 还监控每个收发器的硬件错误,如缓冲区溢出/下溢和锁定失败,并断言 hard_err 信
号。使用 rx_hard_err 信号报告单工内核的 RX 侧硬错误。灾难性的硬件错误也可以表现为一连串的软错误。核心使用 Aurora 8B/10B 协议规范(SP002)中描述的泄漏桶算法来检测在短时间内发生的大量软错误,并断言 hard_err 或 rx_hard_err 信号。 每当检测到硬错误时,内核自动重置自身,并尝试重新初始化。一旦导致硬错误的硬件问题得到解决,这就允许频道重新初始化并重新建立。软错误不会导致复位,除非它们在短时间内发生。 具有 AXI4-Stream 数据接口的 Aurora 8B/10B IPCORE 还可以检测 Aurora 8B/10B 帧中的错误并声明 frame_err 信号。帧错误可以是没有数据,连续起始帧符号和连续帧结束符号的帧。此信号不适用于单工 TX 内核。如果可用,该信号通常被认定为接近于 soft_err 断言,其中软错误是帧错误的主要原因。
Full-Duplex Initialization(全双工初始化)
全双工 IPCORE 在上电,复位或硬错误后自动初始化,并执行 Aurora 8B / 10B 初始化过程,
直到通道准备就绪。 lane_up 总线指示通道中哪条通道已经完成了通道初始化过程。 该信号可用于帮助在多通道通道中调试设备问题。 只有在核心完成整个初始化过程之后,才会声明 channel_up。 Aurora 8B/10B IPCORE 无 法 接 收 channel_up 之 前 的 数 据 。 应 使 用 用 户 界 面 上 的 m_axi_rx_tvalid 信号来限定传入数据。channel_up 可以被反转并用于重置驱动全双工通道 TX 侧的模块,因为在通道_up 之前不会发生任何传输。 如果在数据接收之前需要复位用户应用模块,则可 以将 lane_up 信号之一反转并使用。 在所有的 lane_up 信号被置位之后才能接收数据。
注:channel_init_sm 模块中可以使用 WATCHDOG_TIMEOUT 参数来控制通道初始化过程中出现的看门狗定时器。
Simplex Cores(单工 IPCORE)
单工 TX 状态和控制端口
单工 TX 允许用户应用程序将数据传输到单工 RX。他们没有 RX 连接。单片 TX IPCORE 的状态
和控制界面如图 
单工接收状态和控制端口
单工 RX IPCORE 允许用户应用从单工 TXIPCORE 接收数据。 单片 RXIPCORE 的状态和控制界面如图
  • 23
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值