AURORA 8B/10B IP 核详解

本文详细介绍了AURORA 8B/10B IP核的使用,包括通道选择、时钟同步问题、复位步骤以及控制和状态接口。在通道配置中,主lane可以独立工作,即使其他lane未互联。时钟同步至关重要,错误的时钟可能导致数据传输错误。复位过程中,gt_reset_r和gt_sys_reset_r的正确顺序和时长是关键。此外,文章还探讨了用户时钟频率的计算方法和流控模式。
摘要由CSDN通过智能技术生成

IP 核用法

Aurora  基础知识没有了解,只是用了一下IP核。废话不说,直接上IP核

 下面选择aurora的lane通道。这里需要注意,选择 的要和最后引脚分配的一致。也尝试过,在一个IP 核中开

4个lane,其中一个做主,另外3个做从。下板子验证过,三个可以独立工作。主lane没有互联lane  up  他三个的工作

也正常。因为,主lane只要时钟正常,其他lane就可以正常工作。

选择  核在共享逻辑和核不在共享逻辑

硬件上,用通道1和4 。自己抓数据看是 2492 个高电平,之后有4个时钟低电平。中间有低电平是协议里要插入 时钟同步信号。这说明,Aurora 肯定不能满速跑,我们在计算实际速率的时候不能简单的抛去编码的损耗就算了。

AURORA lane up 以后,没有真正发数据的时候,是发送BC (K28.5) 1C(K28.0) 7C(K28.3) 这些组合后的数据,在两帧之间发送F7(K23.7)。

说明: 自己最开始看别人的代码,是工程里有一个主核(共享逻辑在core),其他的设置为从核(共享逻辑在example),以为所有的都应该是这样的,有主有从。后来听一个朋友说,他的用法是只定义一个IP 核,设置为主,LANE 扩展的时候就把这个IP 核调用若干次。至于,不同的LANE 接口都调用同一个IP ,那引脚和lane不就对应不上了吗?他给出的解释是,XDC 文件的优先级最高,在XDC 文件中重新分配引脚&#

Aurora 8b/10b是一种序列化器/解序列化器(SerDes)的数据传输编码方式,用于高速串行数据通信。它广泛应用于各种通信标准和协议中,如PCI Express(PCIe)、Gigabit Ethernet(GbE)等。下面我将详细介绍一下Aurora 8b/10b的工作原理。 Aurora 8b/10b是一种差分编码方式,将每8个输入比特(bits)映射为一个10比特的输出码字。这里的8b表示每个代码组由8个输入比特组成,10b表示每个输出码字由10个比特组成。 Aurora 8b/10b的编码过程如下:首先,对于输入的每个8比特数据,会通过特定的编码表将其换为对应的10比特输出码字。编码表的作用是保证输出的码字具有特定的性质,比如保持DC平衡、时钟恢复等。然后,将编码后的输出码字通过差分解调器进行差分编码,增强了其抗干扰性能。 解码过程正好相反。首先,通过差分解调器还原出编码前的差分信号,然后,根据编码表将差分信号还原为相应的8比特数据。 Aurora 8b/10b的主要优点是具有较好的抗噪性、抗失配性和时钟恢复特性。其中,抗噪性指的是它能够有效地抵抗噪声干扰,提高数据传输的可靠性;抗失配性指的是它能够自适应地调整串行数据的时钟相位,以适应信道失配等问题;时钟恢复特性指的是它能够从接收端的数据中提取出正确的时钟信号,实现数据的正确解析。 总结来说,Aurora 8b/10b是一种高速串行数据传输编码方式,通过特定的编码和解码工作方式,能够有效地提高数据传输的可靠性和抗干扰能力。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值