【IDCT-FPGA】基于FPGA的IDCT变换的verilog实现

160 篇文章 539 订阅 ¥79.90 ¥99.00
263 篇文章 433 订阅
本文详细介绍了基于FPGA的IDCT(逆离散余弦变换)的Verilog实现过程,首先在MATLAB中验证算法,接着讨论核心模块myDD8的设计,包括加减运算和cos值的量化。通过符号位扩展实现在FPGA中除以2的功能,并展示整个系统调用的状态。最后,使用Modelsim进行仿真验证并提供完整源码获取方式。
摘要由CSDN通过智能技术生成

1.软件版本

ISE14.7,modelsim10.1c

2.本算法理论知识

首先,我们使用MATLAB分别对这个函数进行验证,通过仿真,得到如下的仿真效果:

 这里,我们使用一个逐渐增加的数据进行测试。

        然后分别对8,16,。。。。1024的数据进行测试。然后我们从低向上,进行一级一级的设计。

首先是myDD8

  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值