【FPGA教程案例26】在FPGA中通过verilog来实现小数的基础运算

本文介绍如何在FPGA中使用Verilog进行小数运算,包括加法和乘法。通过24位数据表示小数,其中8位表示整数,16位表示小数。加法直接相加,乘法则需处理小数和整数部分。通过Verilog代码和仿真验证了计算的正确性。
摘要由CSDN通过智能技术生成

FPGA教程目录

MATLAB教程目录

---------------------------------------------------------------------------------------

目录

1.软件版本

2.本算法理论知识

3.核心代码

4.操作步骤与仿真结论

5.参考文献


1.软件版本

vivado2019.2

2.本算法理论知识

        在FPGA中,数据都是以二进制形式存在的,那么如何通过verilog来实现小数运算呢?在本课程中,将介绍小数在FPGA的计算方式。以加法和乘法为案例对小数运算进行介绍。我们假设一个二进制数据的位宽为24位,使用高8位来表示小数的整数部分,低16位来表示小数的小数部分。如下图所示:

其中,小数部分位宽为16bit,那么小

  • 4
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值