Vivado中FFT9.1 IP核的使用(4)——SCALE_SCH缩放设置

本文介绍了Vivado中FFT9.1 IP核的SCALE_SCH设置,用于控制FFT过程的缩放。SCALE_SCH与FFT的阶段数和长度相关,每个阶段可设置不同的移位位数,实现0-8倍的缩放。在对线性调频信号的仿真中,验证了SCALE_SCH的配置,包括不缩放和4倍缩放两种情况,结果与分析一致。
摘要由CSDN通过智能技术生成

Vivado中FFT9.1 IP核的使用(3)——线性调频信号FFT的基础上,对SCALE_SCH进行测试

1、SCALE_SCH介绍

SCALE_SCH对FFT过程的缩放进行设置:

  • SCALE_SCH对FFT变换各阶段的缩放进行设置,长度与FFT方式和变换长度有关,比如基2FFT,1024点,对应阶段数为10;
  • 每阶段使用两位进行设置,可以设置为0-1-2-3,对应移位位数,反映在缩放倍数上实际为:0-2-4-8倍;
  • SCALE_SCH从高到低,分别对应FFT最后阶段到初始阶段;

2、仿真

对线性调频信号进行FFT时,IP配置情况如下:

SCALE_SCH位数=阶段数*2=20与分析一致

分别按照不缩放、缩放4倍两种情况进行仿真:

  • 3
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值