交通信号灯控制器设计Verilog代码Quartus仿真

名称:交通信号灯控制器设计Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

主要内容

设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红丶绿黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

基本要求

1丶红丶绿丶黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号,实验电路用逻辑开关代替。

2、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

3、主丶支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒少计时、显示电路,选择1Hz时钟脉冲作为系统时钟。

4丶在毎次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路

要求.png

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 状态图

6. 仿真图

整体仿真图

分频模块

状态控制模块

显示模块

部分代码展示:

//状态控制模块
module state_control(
input clk_1Hz,//1Hz时钟
input detect,//传感器检测支干道车
output [2:0] main_LEDs,//主干道红绿黄
output [2:0] branch_LEDs,//支干道红绿黄
output reg [7:0] main_number,//主干道倒计时
output reg [7:0] branch_number//支干道倒计时
);
reg red_M;//主干道红灯
reg green_M;//主干道绿灯
reg yellow_M;//主干道黄灯
reg red_B;//支干道红灯
reg green_B;//支干道绿灯
reg yellow_B;//支干道黄灯
assign main_LEDs={red_M,green_M,yellow_M};
assign branch_LEDs={red_B,green_B,yellow_B};
reg [7:0] green_M_count;
reg [7:0] yellow_M_count;
reg [7:0] red_M_count;
reg [7:0] green_B_count;
reg [7:0] yellow_B_count;
reg [7:0] red_B_count;
reg [7:0] S0_count=1;
reg [7:0] S1_count=1;
reg [7:0] S2_count=1;
reg [7:0] S3_count=1;
reg [1:0] state=0;
parameter S0=2'd0;
parameter S1=2'd1;
parameter S2=2'd2;
parameter S3=2'd3;
//状态机控制
always@(posedge clk_1Hz or negedge detect)
if(detect==0)begin//支干道无车
state<=S0;//主干道通行
S0_count<=1;
S1_count<=1;
S2_count<=1;
S3_count<=1;
end

源代码

点击下方的公众号卡片获取

  • 7
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值