fpga实操训练(数码管)

本文介绍了数码管的工作原理,通过分析数码管显示数字1的例子展示了其显示方式。数码管的数据段通常共享以节省资源,通过选择信号实现多个数码管的区分。作者设计了一个循环显示阿拉伯数字的FPGA程序,并详细讲解了引脚绑定和验证测试过程,以助于学习者掌握数码管在FPGA中的应用。
摘要由CSDN通过智能技术生成

【 声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】

        数码管虽然形式上和led不一样,但是基本原理其实是一样的。一个数码管其实是有很多段组成的,这些段的组合,会构建出不同的图形,而这些图形描绘成最终的数字。

1、数码管的显示

         比如上面这个数码管,本身是由8个部分组成,0-6是一个逆时针的led管组成,7是圆点。如果点亮数码管显示出数字1的话,那么点亮1和2就可以构成数字1。这个时候,输出的数据0000_0110。当然如果是输入0点亮数码管的话,那么输出的数据则应该是1111_1001。这个时候,大家辛苦整理下,就可以知道全部0-9的数字应该怎么显示了,

always@(posedge clk or negedge rst)
		if(!rst)
			seg_data <= 8'b1100_0000;
		else
			case (num)
				4'd0: 
					seg_data <
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

嵌入式-老费

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值