verilog 学习记(如何编写assert)


【 声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】


    我们在编写c代码的时候,一般测试的时候都要用assert进行判断。那么怎么在verilog中进行assert判断呢?大家知道,system verilog是包含有assert语句的,但是raw verilog没有。既然verilog 没有,那么我们可以自己定义一个module,一样可以实现assert的功能。今天在网上看到别人的描述,自己写了一段类似的代码,和大家一起分享一下。希望对大家有所帮助。


// author : feixiaoxing
// date   : 2017_01_19
// history:
//

module assert(clk, in);

// input & output
input clk;
input in;

// wire & reg;
wire clk;
wire in;

// inner wire & reg

/* none */

// always clause defined here

always @(posedge clk)
begin
	if(in !== 1)
	begin
		$display("assert happened in %m\n");
		$finish;
	end
end

endmodule


评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

嵌入式-老费

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值