HDL bits--verification--writing Tb

本文展示了VerilogHDL中的几个模块实例,包括时钟信号管理、输入输出信号控制、逻辑门电路实现以及状态机(触发器)的使用。通过Tb文件测试了不同功能的模块行为。
摘要由CSDN通过智能技术生成

1.Tb/clock

module top_module();
    

    reg clk;

   initial clk=0;

always
    #5 clk=~clk;

dut instance1(clk);
endmodule

2.Tb/tb1

module top_module ( output reg A, output reg B );//

    // generate input patterns here
    initial begin

        A=0;
        B=0;
        #10 A=1;
        #5 	B=1;
        #5 	A=0;
        #20	B=0;

    end

    

endmodule

3.Tb/and

module top_module();
    reg [1:0] in;
    
    wire out;
    initial begin
        in=2'b00;
      
   
   
        #10 in=2'b01;
        #10 in=2'b10;
        #10 in=2'b11;
    end
    
    andgate instance1(in,out);
endmodule

4.Tb/tb2

module top_module();
    reg clk;
    reg in;
    reg [2:0] s;
    wire out;
  initial 
        begin
            clk=0;
            s=2;
            in=0;
            #10 s=6;
            #10 s=2;in=1;
            #10 s=7;in=0;
            #10 s=0;in=1;
            #30 in=0;
           
        end
             
 always begin #5 clk=~clk;end          
            
            q7 instance1(clk,in,s,out);
endmodule

5.Tb/tff

module top_module ();
 
    reg clk,t,q,reset;
    
    tff tff_1(.clk(clk),.reset(reset), .t(t),.q(q));
    initial begin
          clk=0;
        forever
        #4//不一定非得是5
        clk=~clk;
    end
  initial begin
        reset = 1'b0;
        #3
        reset = 1'b1;
        #10//要根据时钟那里来变
        reset = 1'b0;   
  end
     always@(posedge clk)begin
        if(reset)begin
            t <= 1'b0;
        end
        else begin
            t <= 1'b1;
        end
     end
endmodule

这个没写出来 是看别的博主的代码

  • 11
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值