HDL bits 做题日常 15/2--more Verilog features

1.conditional ternary operator

module top_module (
    input [7:0] a, b, c, d,
    output [7:0] min);//
    reg [7:0] out1,out2;
    always@(a or b or c or d)begin
        out1 <= a<b ? a:b;
        out2 <= c<d ? c:d;
    
        min <= out1<out2 ? out1:out2;
    end
       
    // assign intermediate_result1 = compare? true: false;

endmodule

2.reduction operators

module top_module (
    input [7:0] in,
    output parity); 
    assign parity=^in[7:0];
endmodule

3.reduction:even wider gates

module top_module( 
    input [99:0] in,
    output out_and,
    output out_or,
    output out_xor 
);
    assign out_and= & in[99:0];
    assign out_or= | in[99:0];
    assign out_xor= ^ in[99:0];
endmodule

4.combinational for-loop:vector reversal 2

module top_module( 
    input [99:0] in,
    output [99:0] out
);
    integer i;
    always@(i) begin
        for(i=0;i<100;i=i+1) begin
            out[99-i]<=in[i];
    end
    end
            
endmodule

我真是凸(艹皿艹 )了 为什么下面这个代码不可以(错误版)

module top_module( 
    input [99:0] in,
    output [99:0] out
);
    integer i;
    always@(i) begin
        for(i=99;i=0;i=i-1) begin
            out[99-i]<=in[i];
    end
    end
            
endmodule

5.popcount255

我请问呢 哪里不对啊(错误版)

module top_module( 
    input [254:0] in,
    output [7:0] out );
    integer i,n=0,m;

    always@(in or i or n or m) begin
        for(i=0;i<255;i=i+1) begin
            if(in[i]) 
                m=n+1;
        end
    end
    out=$dec2bin(m;8);
endmodule

正确版

module top_module( 
    input [254:0] in,
    output [7:0] out );
    integer i;

    always@(in or i ) begin
        out=0;
        for(i=0;i<255;i=i+1) begin
            if(in[i]) 
                out=out+1;
            else
                out=out;
        end
    end
endmodule

6.adder100i 

我说我真的很讨厌加法器(其实是因为没搞懂)

module top_module( 
    input [99:0] a, b,
    input cin,
    output [99:0] cout,
    output [99:0] sum );
assign sum[0] = a[0]^b[0]^cin;
    assign cout[0] = a[0]&b[0] | a[0]&cin | b[0]&cin;
    always @(*)begin
        for(integer i = 1;i<100;i++)begin
            sum[i] = a[i]^b[i]^cout[i-1];
            cout[i] = a[i]&b[i] | a[i]&cout[i-1] | b[i]&cout[i-1]; 
        end
    end


endmodule

7.bcd add100

真的写不出来 等我搞懂了加法器再来写

  • 6
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值