在调用lut原语时如何防止vivado自动优化pin 连接顺序

  编译后的 原理图 一直会与设计保持一致

但是最终布线后会优化 PIN 的连接顺序,如上,把 I1 的走线优化到了 A5 的入口

如果想要把 I1 布线后也在 A2 上,则需要加 LOCK_PINS 约束  (*LOCK_PINS = “I1:A2”*)

(* LOCK_PINS = "I0:A1,I1:A2,I2:A3,I3:A4,I4:A5" *)  
   LUT5 #(
      .INIT(32'h9669_6996)  // Logic function
   )
   LUT5_inst (
      .O(out1),   // 1-bit output: LUT
      .I0(a), // 1-bit input: LUT
      .I1(b), // 1-bit input: LUT
      .I2(c), // 1-bit input: LUT
      .I3(d), // 1-bit input: LUT
      .I4(e)  // 1-bit input: LUT   i_init_set
   );   

参考 ug912 Vivado Design Suite Properties Reference Guide 的 LOCK_PINS 解释

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值