FPGA经验谈系列文章——那些曾经让我发狂的BUG

本文分享了作者在FPGA开发中遇到的若干难题,包括跨时钟域处理错误、组合逻辑产生的锁存器问题、外围器件协议理解不足、接口时钟和数据相位问题以及FPGA核心电压不足等。这些问题通常难以察觉,需要深入理解和大量调试才能解决。
摘要由CSDN通过智能技术生成

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

工作快十年了,不知道各位FPGAer是不是都顺风顺水的过来了,研发期间有没有经历过那些奇奇怪怪的问题。我这里所说的奇怪的问题,不是简简单单通过chipscope就能抓出来的,虽然我所经历的大部分BUG都可以通过抓数获取到蛛丝马迹。奇怪的问题一般都源于自己的认知不够,难以解决,不亲身经历很难深刻认识,可以说是可遇而不可求。
如果说你从来没碰到过任何奇怪的问题,那么我觉得可能是两个理由:
1、 你是天才,能力超群
2、 你的经历也许还不够

很大可能还是第二个原因,就算你是天才,代码写的滴水不漏,你也无法避免你的队友有可能会坑你一把。毕竟那种大型FPGA工程有可能是几个人合作进行的,你不可能完全有时间去一行一行的去给对方去检查。
就像本人,如果一直是在第一家公司的话,估计也很难碰到那些奇怪的问题,因为第一家公司对于FPGA来说时序和资源不是重点,算法实现是重点。这倒不是鼓励说一定要换工作,知识永远学不完,够用就好。

这些奇怪的问题会造成你

  • 17
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 8
    评论
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

十年老鸟

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值