Modulesim Error: (vsim-3033) The design unit was not found的解决办法

找不到模块,有可能是你的模块名称写错了;模块语法有错误,没有编译成功;还有可能是库文件你没有包含进去。

下面讲讲加载库文件的方法。在我的上一篇文章中,已经给出了找到库中特定模块的方法。按照这个方法找到模块后,记住库的名称。

点击菜单Simulation->Start Simulation。在弹出的对话框中,选择Libraries标签页,点击Add...,在弹出的对话框中点击向下的箭头。

选中库就能加载这个库了。然后再进入Design标签页中,选择待仿真的模块,按下底部的OK即可进行仿真了。

  • 3
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值