Verilog中parameter在仿真时的应用

parameter能够定义一个常量

例如   parameter [7:0]A = 8'd123;

在仿真时我们可以用它来改变模块的参数,而不会影响综合的结果。

考虑下面的模块,输入时钟是clk,频率为24MHz,输出一个1Hz的方波驱动小灯让其闪烁

module test1(
	input clk,//24MHz的时钟
	output reg led
);
reg [25:0]cnt;
initial begin
	led <= 1'b0;
	cnt <= 1'b0;
end
always @(posedge clk)begin
	if(cnt == 26'd11_999_999)begin
		cnt <= 1'b0;
		led <= ~led;
	end else begin
		cnt <= cnt + 1'b1;
	end
end
endmodule 

如果我们要对这个模块进行仿真,因为计数值非常大,所以需要仿真很长时间我们才会看到结果。

不妨对代码进行如下修改。用MAX这个常数替代11_999_999,模块的行为没有任何改变。

module test1(
	input clk,
	output reg led
);
parameter [25:0]MAX = 26'd11_999_999;
reg [25:0]cnt;
initial begin
	led <= 1'b0;
	cnt <= 1'b0;
end
always @(posedge clk)begin
	if(cnt == MAX)begin
		cnt <= 1'b0;
		led <= ~led;
	end else begin
		cnt <= cnt + 1'b1;
	end
end
endmodule 

好了,下面我们编写测试用例

`timescale 1ns/1ps
module sim_test1();
	reg clk;
	wire led;
	initial begin
		clk <= 1'b0;
	end
	always #5 clk <= ~clk;
	test1 #(.MAX(10))UUT(
		.clk(clk),
		.led(led)
	);
endmodule 

  #(.MAX(10)) 这段代码就是对UUT这个实例中的长度临时进行了修改,让仿真的时间缩短了。

又因为作为test1的顶层模块并没有变动,所以不会改变配置到FPGA时的效果。当我们把配置文件下载到FPGA中时,会发现led灯还是按照1Hz的频率在闪烁。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值