单精度浮点数加法器FPGA实现------(同号相加)

        笔者这些天在研究如何用FPGA实现浮点的乘累计运算,关于浮点的乘法可以调用现成的IP核,而浮点的加法虽然有现成的IP核,但是输出时钟延迟太大,以及将输出反馈到输入端时会使系统的主时钟频率急剧降低,使整个系统能够运行的速率很低,虽然笔者针对这一问题尝试了一些补救措施,比如在输出加一级reg进行缓冲,但是没有什么效果。无奈之下笔者选择了自己编写单精度浮点加法器逻辑,终于成功的解决了上述两个问题,即时钟延迟缩减的2个时钟输出反馈到输入系统时钟没有明显降低。关于浮点的二进制表示规则可以查看博客浮点数的二进制表示。依据此规则,浮点加法的逻辑可以分为两种情况:1、同符号相加。2、异符号相加。本篇介绍同符号相加的情况,下面给出的是verilog代码。

module FP_ADD_same_oper    //相同符号的浮点数据相加
(
	input wire MAIN_CLK,            

	input wire [31:0] a,
	input wire [31:0] b,
	
	output wire [31:0] ab
);

reg [7:0] pow_a;
reg [7:0] pow_b;
reg [22:0] val_a;
reg [22:0] val_b;
reg flag;
always @(*)
begin
	flag = a[31];   //提取符号
	pow_a = a[30:23];
	pow_b = b[30:23];
	val_a = a[22:0];
	val_b = b[22:0];
end
//比较指数大小提取指数差值
reg [24:0] val_max;
reg [24:0] val_min; 
reg [7:0] pow_diff;
reg [7:0] pow_ab1;
reg flag1;
al
  • 4
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 7
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

_IRONMAN_

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值