自适应中值滤波及实现

前言

无意中看到了一篇比较老的论文,Adaptive median filters: new algorithms and results。感兴趣的可以下载下来看看。主要就是提出了一种自适应中值滤波算法,这个算法是很经典的中值滤波算法的改进版本,自动选择滤波器的大小,以追求更好的效果。原理十分简单,后面都尽量简短地进行说明。

中值滤波器(Median Filter)

中值滤波的思想就是比较一定领域内的像素值的大小,取出其中值作为这个领域的中心像素新的值。假设对一定领域内的所有像素从小到大进行排序,如果存在孤立的噪声点,比如椒盐噪声(椒噪声——较小的灰度值,呈现的效果是小黑点;盐噪声——较大的灰度值,呈现的效果是小白点),那么从小到大排序的这个数组中,那些孤立的噪声一定会分布在两边(要么很小,要么很大),这样子取出的中值点可以很好地保留像素信息,而滤除了噪声点的影响。
中值滤波器受滤波窗口大小影响较大,用于消除噪声和保护图像细节,两者会存在冲突。如果窗口较小,则能较好地保护图像中的一些细节信息,但对噪声的过滤效果就会打折扣;反之,如果窗口尺寸较大则会有较好的噪声过滤效果,但也会对图像造成一定的模糊效果,从而丢失一部分细节信息。另外,如果在滤波窗口内的噪声点的个数大于整个窗口内像素的个数,则中值滤波就不能很好的过滤掉噪声。

自适应中值滤波器(Adaptive Median Filter)

在噪声密度不是很大的情况下(根据经验,噪声的出现的概率小于0.2),使用中值滤波的效果不错。但是当噪声出现的概率比较高时,原来的中值滤波算法就不是很有效了。只有增大滤波器窗口尺寸,尽管会使图像变得模糊。
使用自适应中值滤波器的目的就是,根据预设好的条件,动态地改变中值滤波器的窗口尺寸,以同时兼顾去噪声作用和保护细节的效果。
下面是自适应中值滤波器算法的详细描述:
预先定义好以下符号:

  • Sxy S x y :滤波器的作用区域,滤波器窗口所覆盖的区域,该区域中心点为图像中第y行第x列个像素点;
  • Zmin Z m i n Sxy S x y 中最小的灰度值;
  • Zmax Z m a x Sxy S x y 中最大的灰度值;
  • Zmed Z m e d Sxy S x y 中所有灰度值的中值;
  • Zxy Z x y :表示图像中第y行第x列个像素点的灰度值;
  • Smax S m a x Sxy S x y 所允许的最大窗口尺寸;

自适应中值滤波器分为以下两个过程,AB
A:
1. A1 = Zmed Z m e d - Zmin Z m i n
2. A2 = Zmed Z m e d - Zmax Z m a x
3. 如果 A1>0 A 1 > 0 A2<0 A 2 < 0 ,则跳转到B
4. 否则,增大窗口的尺寸
5. 如果增大后的尺寸 Smax S m a x ,则重复A
6. 否则,直接输出 Zmed Z m e d
B
1. B1 = Zxy Z x y - Zmin Z m i n
2. B2 = Zxy Z x y - Zmax Z m a x
3. 如果 B1>0 B 1 > 0 B2<0 B 2 < 0 ,则输出 Zxy Z x y
4. 否则输出 Zmed Z m e d

直观解释

在自适应中值滤波算法中,A步骤里面会先判断是否满足 Zmin<Zmed<Zmax Z m i n < Z m e d < Z m a x 。这一步骤实质是判断当前区域的中值点是否是噪声点,通常来说是满足 Zmin<Zmed<Zmax Z m i n < Z m e d < Z m a x 这个条件的,此时中值点不是噪声点,跳转到B;考虑一些特殊情况,如果 Zmed=Zmin Z m e d = Z m i n 或者 Zmed=Zmax Z m e d = Z m a x ,则认为是噪声点,应该扩大窗口尺寸,在一个更大的范围内寻找一个合适的非噪声点,随后再跳转到B,否则输出的中值点是噪声点;
接下来考虑跳转到B之后的情况:判断中心点的像素值是否是噪声点,判断条件为 Zmin<Zxy<Zmax Z m i n < Z x y < Z m a x ,原理同上,因为如果 Zxy=Zmin Z x y = Z m i n 或者 Zxy=Zmax Z x y = Z m a x ,则认为是噪声点。如果不是噪声点,我们可以保留当前像素点的灰度值;如果是噪声点,则使用中值替代原始灰度值,滤去噪声。

程序实现

程序中定义了产生椒噪声和盐噪声函数,以及中值滤波和自适应中值滤波的函数。
程序很基础,不做赘述。

#include <stdio.h>
#include <opencv2/opencv.hpp>
#include <opencv2/highgui/highgui.hpp>
#include <opencv2/imgproc/imgproc.hpp>

//盐噪声  
void saltNoise(cv::Mat img, int n)
{
    int x, y;
    for (int i = 0;i < n / 2;i++)
    {
        x = std::rand() % img.cols;
        y = std::rand() % img.rows;
        if (img.type() == CV_8UC1)
        {
            img.at<uchar>(y, x) = 255;
        }
        else if (img.type() == CV_8UC3)
        {
            img.at<cv::Vec3b>(y, x)[0] = 255;
            img.at<cv::Vec3b>(y, x)[1] = 255;
            img.at<cv::Vec3b>(y, x)[2] = 255;
        }
    }
}

//椒噪声  
void pepperNoise(cv::Mat img, int n)
{
    int x, y;
    for (int i = 0;i < n / 2;i++)
    {
        x = std::rand() % img.cols;
        y = std::rand() % img.rows;
        if (img.type() == CV_8UC1)
        {
            img.at<uchar>(y, x) = 0;
        }
        else if (img.type() == CV_8UC3)
        {
            img.at<cv::Vec3b>(y, x)[0] = 0;
            img.at<cv::Vec3b>(y, x)[1] = 0;
            img.at<cv::Vec3b>(y, x)[2] = 0;
        }
    }
}

// 中值滤波器
uchar medianFilter(cv::Mat img, int row, int col, int kernelSize)
{
    std::vector<uchar> pixels;
    for (int y = -kernelSize / 2;y <= kernelSize / 2;y++)
    {
        for (int x = -kernelSize / 2;x <= kernelSize / 2;x++)
        {
            pixels.push_back(img.at<uchar>(row + y, col + x));
        }
    }
    sort(pixels.begin(), pixels.end());
    auto med = pixels[kernelSize*kernelSize / 2];
    return med;
}

// 自适应中值滤波器
uchar adaptiveMedianFilter(cv::Mat &img, int row, int col, int kernelSize, int maxSize)
{
    std::vector<uchar> pixels;
    for (int y = -kernelSize / 2;y <= kernelSize / 2;y++)
    {
        for (int x = -kernelSize / 2;x <= kernelSize / 2;x++)
        {
            pixels.push_back(img.at<uchar>(row + y, col + x));
        }
    }

    sort(pixels.begin(), pixels.end());

    auto min = pixels[0];
    auto max = pixels[kernelSize*kernelSize - 1];
    auto med = pixels[kernelSize*kernelSize / 2];
    auto zxy = img.at<uchar>(row, col);
    if (med > min && med < max)
    {
        // to B
        if (zxy > min && zxy < max)
            return zxy;
        else
            return med;
    }
    else
    {
        kernelSize += 2;
        if (kernelSize <= maxSize)
            return adaptiveMedianFilter(img, row, col, kernelSize, maxSize);// 增大窗口尺寸,继续A过程。
        else
            return med;
    }
}


int main()
{
    int minSize = 3;
    int maxSize = 7;
    cv::Mat img;
    img = cv::imread("lena.bmp");
    cv::cvtColor(img, img, cv::COLOR_BGR2GRAY);
    cv::imshow("src", img);
    saltNoise(img, 40000);
    pepperNoise(img, 40000);
    cv::imshow("noise", img);
    cv::Mat temp = img.clone();

    // 自适应中值滤波
    cv::Mat img1;
    // 扩展图像的边界
    cv::copyMakeBorder(img, img1, maxSize / 2, maxSize / 2, maxSize / 2, maxSize / 2, cv::BorderTypes::BORDER_REFLECT);
    // 图像循环
    for (int j = maxSize / 2;j < img1.rows - maxSize / 2;j++)
    {
        for (int i = maxSize / 2;i < img1.cols - maxSize / 2;i++)
        {
            img1.at<uchar>(j, i) = adaptiveMedianFilter(img1, j, i, minSize, maxSize);
        }
    }
    cv::imshow("adaptiveMedianFilter", img1);

    // 中值滤波
    cv::Mat img2;
    int kernelSize = 3;
    cv::copyMakeBorder(temp, img2, kernelSize / 2, kernelSize / 2, kernelSize / 2, kernelSize / 2, cv::BorderTypes::BORDER_REFLECT);
    for (int j = kernelSize / 2;j < img2.rows - kernelSize / 2;j++)
    {
        for (int i = kernelSize / 2;i < img2.cols - kernelSize / 2;i++)
        {
            img2.at<uchar>(j, i) = medianFilter(img2, j, i, kernelSize);
        }
    }
    cv::imshow("medianFilter", img2);

    cv::waitKey();
    cv::destroyAllWindows();

    return 0;
}

结果截图

原始图像和添加椒盐噪声后的图像。
png
其实截图中看不出很明显的区别,在自己电脑上运行后看结果会清楚点。可以看到使用普通中值滤波的结果相比另一个会模糊一些,且局部仍然会有一小的噪声点。图像边缘多出来的区域不好做中值滤波处理保留了原始图像,所以边缘那一圈仍然有噪声。
png

  • 62
    点赞
  • 318
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
自适应中值滤波是一种用于图像处理的滤波算法,它可以有效地去除图像中的噪声。在 Verilog 中实现自适应中值滤波可以通过以下步骤完成: 1. 定义输入和输出信号:首先,你需要定义输入图像和输出图像的信号,可以使用 Verilog 的数据类型来表示像素值和图像尺寸。 2. 读取输入图像:你可以使用适当的模块或方法来读取输入图像。这可以通过从文件中读取图像数据或者从其他模块接收输入信号来实现。 3. 实现自适应中值滤波算法:自适应中值滤波算法通常包括以下步骤: - 对于每个像素,选择一个窗口大小(如3x3或5x5)。 - 将该窗口内的像素值排序,找到中值。 - 计算该窗口内的最小值和最大值。 - 如果中值小于最小值或大于最大值,则将中值替换为该窗口内的中间值。 - 将中值作为输出图像中对应位置的像素值。 这些步骤可以使用 Verilog 的逻辑运算和比较运算符来实现。 4. 写入输出图像:最后,你需要将输出图像写入到适当的位置,可以通过文件或者输出信号的方式实现。 需要注意的是,Verilog 是一种硬件描述语言,主要用于设计和描述硬件电路。因此,在实际使用中,你可能需要将图像数据转换为适当的格式来进行处理,并将处理结果转换回图像格式。此外,自适应中值滤波算法的实现可能需要一些额外的控制逻辑和状态机来处理边界情况和处理过程中的状态转换。 希望这些信息能对你有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值